318 Commits (6443aa86ec278ff05d30da7c9ea96e5a6c836a32)

Author SHA1 Message Date
José Julián Espina aad78154cf Pretty print promise objects (#2407) 2 years ago
José Julián Espina 91235c77fe Rewrite some patterns with let-else and ok_or_else (#2404) 2 years ago
raskad dc3b09a001 Implement async arrow functions (#2393) 2 years ago
José Julián Espina 49a58675cc Replace `contains` and friends with visitors (#2403) 2 years ago
José Julián Espina b4da172f91 Extract the ast to a crate (#2402) 2 years ago
Addison Crump b5b8cdfa18 Implement AST Visitor pattern (attempt #3) (#2392) 2 years ago
José Julián Espina c72e4c20c9 Implement delete for references (#2395) 2 years ago
dependabot[bot] 6c70dd6243 Bump once_cell from 1.15.0 to 1.16.0 (#2396) 2 years ago
Halid Odat bc2dd9c4bd Fix order dependent execution in assignment. (#2378) 2 years ago
José Julián Espina 18824baba8 Generate `Opcode` impl using macro (#2391) 2 years ago
José Julián Espina 4b892a931d Implement optional chains (#2390) 2 years ago
raskad f446c0970f Remove invalid optimization in addition (#2387) 2 years ago
raskad b67596bc9b Add named evaluation of logical assignments (#2389) 2 years ago
raskad 203c1961bb Skip prototype field definition for arrow function (#2388) 2 years ago
raskad 786357919f Set `in` to `true` when parsing AssignmentExpression in ConditionalExpression (#2386) 2 years ago
Kevin f026f2005a Implement `JsGenerator` and wrapper docs clean up (#2380) 2 years ago
José Julián Espina 762dd93d44 Fix var collisions in strict eval calls (#2382) 2 years ago
José Julián Espina 447fca4cc0 Implement member accessors in initializer of for loops (#2381) 2 years ago
José Julián Espina 89e3081672 Document the AST (#2377) 2 years ago
dependabot[bot] 946a4ddf74 Bump serde from 1.0.145 to 1.0.147 (#2374) 2 years ago
raskad de231df63a Allow LineTerminator before Semicolon in `continue` (#2371) 2 years ago
Iban Eguia Moraza f4cef141b0 Removed some duplicate code, added `ToIndentedString` (#2367) 2 years ago
Kevin 9a05b1ef81 Split vm/opcode into modules (#2343) 2 years ago
raskad 80017fdf15 Fix error in `Proxy` set implementation (#2369) 2 years ago
José Julián Espina d4c220a70b Implement `LabelledStatement` (#2349) 2 years ago
Choongwoo Han 904e422d36 Fix Regex literal parsing in MemberExpression (#2328) 2 years ago
Iban Eguia Moraza f708a764c2 Fixed some documentation and clippy warnings in tests (#2362) 2 years ago
dependabot[bot] d51fee7237 Bump serde_json from 1.0.86 to 1.0.87 (#2355) 2 years ago
José Julián Espina dc9c1cb275 Create new lazy Error type (#2283) 2 years ago
José Julián Espina 959b9f1b6d Split `Node` into `Statement`, `Expression` and `Declaration` (#2319) 2 years ago
Kevin 13dcdd4a97 Implement `JsRegExp` (#2326) 2 years ago
José Julián Espina c645f8530e Bump serde_json from 1.0.85 to 1.0.86 (#2341) 2 years ago
José Julián Espina df70302194 First prototype for new `JsString` using UTF-16 (#1659) 2 years ago
Calli c8f6f85d61 Separate JsObjectType implementors to their own module (#2324) 2 years ago
Kevin eaf94284bd Implement `JsDataView` (#2308) 2 years ago
raskad 7f1d1a992a Parse static async private methods in classes (#2315) 2 years ago
raskad 5b5498d1ae Fix double conversion to primitive in `ToNumeric` (#2310) 2 years ago
raskad 9f0ac30082 Fix some Array spec deviations (#2306) 2 years ago
raskad 0213f9f1e5 Fix function property order (#2305) 2 years ago
José Julián Espina e9e85f5a49 Switch to workspace inherited properties (#2297) 2 years ago
raskad c58a8997ca Implement `new.target` expression (#2299) 2 years ago
creampnx_x 573ac14458 Add unicode terminator to line comment (#2301) 2 years ago
Iban Eguia f5be60975b Updated dependencies for 0.16 release (#2300) 2 years ago
dependabot[bot] c4c608c17a Bump serde from 1.0.144 to 1.0.145 (#2298) 2 years ago
Iban Eguia 77e739c5da Upgrading to Unicode 15 (#2291) 2 years ago
dependabot[bot] 198dfcc394 Bump once_cell from 1.14.0 to 1.15.0 (#2296) 2 years ago
raskad d28633925c Implement `for await...of` loops (#2286) 2 years ago
raskad db8a299386 Implement missing global object internal methods (#2287) 2 years ago
creampnx_x dbbcc57809 Fix labelled block statement (#2285) 2 years ago
Iban Eguia 779384d87f Add URI encoding and decoding functions (#2267) 2 years ago