From 4efc5a1be20341f06da22fd21adf88acb707d83f Mon Sep 17 00:00:00 2001 From: richie Date: Wed, 10 Oct 2018 14:30:11 +0800 Subject: [PATCH] =?UTF-8?q?=E7=BD=91=E9=A1=B5=E6=A1=86=E7=BC=96=E7=A0=81?= =?UTF-8?q?=E9=97=AE=E9=A2=98?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- install/fr-plugin-rh.iframe-7.1.zip | Bin 27010 -> 0 bytes install/fr-plugin-rh.iframe-7.2.zip | Bin 0 -> 27523 bytes plugin-iframe.iml | 52 ------------------ plugin.xml | 3 +- .../form/widget/core/TemplateSource.java | 38 ++++++++++--- 5 files changed, 31 insertions(+), 62 deletions(-) delete mode 100644 install/fr-plugin-rh.iframe-7.1.zip create mode 100644 install/fr-plugin-rh.iframe-7.2.zip delete mode 100644 plugin-iframe.iml diff --git a/install/fr-plugin-rh.iframe-7.1.zip b/install/fr-plugin-rh.iframe-7.1.zip deleted file mode 100644 index 4df5182fce4e09f7d3fad03927297b1002323c19..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 27010 zcmZ^oLx3g>(45D%ZJY1dws&mXwr$(CZQI(h?b$K%{YehFq)vUQ?n7U?o>r6r1%m+s zf&u~}3X+fm`hSG=Uv1_@?_lFE6Y2qzMsB(y?l48TAh~?VmUM0okt^cHIm?#icDBUL{vlt^+JbD zg1?V}5FnsQ=xWF%sVS(%{)C_)%5UM+Xh=Wh)GkbfO}FKlC*~rbHovwsv{aPT6je3C zl(cTNJj@KFaxxsSjB+yU?94j_BMk#RzWZ#%F^7_*#H{3nFlfNCFo^z`C!1;Ll_4~> zCD%!Ea=)<`H?v=XoLt#olc*5?OB+jT3%koNO5fkk?%3YO!q|)a4%F|;?%Lkm(&*mh z{I{du%Kqw;{Enor)auGfeV3nf1qYT^R_1S329&Q0)NQ%g^IuBt-?dLeYpvJM@_2kE zB&3`C4;Wh7XwAPFQyaK`aRGB1V+)&`Y;Iar`askxdmi#LAE0JW?a==u6S}Cx3XqA( z4qo6h~h5v%{btM13YY9vg8C>_pRR67{4yEM0KYt3C{ER8T zbILqzrb(@W-Mb;0M!&}j$HgGrPqL!Y{E=p7-*p)(2ZUs;%eiVS!Br~KtjkWs9O9n| zDtNN!Xd>tQMZNO+I?oEgmYvKQCf2x_+YxGh`X?errCporhdhr3I34kk4EzBxzZcHu>p6ori#Nz&r zpu*n|T2$KjR=Vrk7F#E+SK-Nqh}Xh`(^ZgWQ%#y)noO8f3t%Y2Q@oW@qJ`!lt@i~E z<2g+Fzgf+Vm-mC?op6!{#Uob2ZbCoA00lZA`UXjbeB~?zqdEh-{+VooFT2tYrSNaR z8*_`0p_~=5F=P<&x7Ji5aY=5y88}G&(+r}BCkOSk1Veeybcg=c#z$lyBa&*kgO?7@ z3BNl+BTy12z;JGw3V|(#*~1nNZ&XudU>A*ye5sD@QiuT4Y$p)G%T-BLhJnM@RS`Gj z|2EMf%Uco>d(M7W7P~L$Qb}mZa_N;{9;qIGb1$@(swM_t70R$Yx==X{vFDaxXGr6#~ zvZgS(wIsKpGIn+^@KtW1HQ#&yCQ`SaKTWHyeapKM13}oJ&~k@bk)ckwkp@KRh#oKEY(!v-#96hhtABX!Kxhpx;XSHZn zVuEO0pW!+!u}_BK!<_@({m89B&fFv#*>v&h&7Fezj>(sHSO?Nygo5FM*?kh-GPm3} z@f~n9f>bplLcmOKVMB+x=_T#k@w3BrI#`tUhk+h0m|u0p1jL+0wH`SI|0~9HUzBhX zxf3Cv3WhBZfIQSQ0Ch%cYKcuzszY_x#Es4D@DToHfWoQp6A2x=+)M#)p_p2*4c_yr zw{>pJ1|U$#BxY!DaXo$Y>s?V4;Rq=hb|kMv%mTea>2oV{eW=b}Zy$HU80+N(;dP=H z_k0Rf@0%sAWfSZK$Ig`R+O+~WRLSe=bSJ%cT()~3PBZxfwB|!!QmHK&a6^(?blmP5 z>#HX-*O3*pu4iJ}jU!+5|K)-{0@bWct8t_CxoW-LTga=0$%(@wU?*PAz}*7Gzd7so zNTk!@>`iT2E&&!k;p5M~3aADM5Qb@>Z@-c_{lxbTnF>o4-~Pn08)x&s5=$Kib{DWm zUpbXK5Ta8QX_8ta!xc5bwiTDT89)$257PB8+KnsyOMD>x%3zdM8uLzE*ve0G-u4X1 z0=@VIOa4t|?07?Q#s56C;akAlUHt^zhc^wYG1<;Lx#iDMG*8H%P^MrWx#3q&!r{nw z?q0FKXe~rH)RbZEg)cn+aWj4p@0&Kvz3Oj`WWsUEZm{mT_@P+c8-QgwP$O#gGOhM@ z*dUVpivQZi@YAxgnw#_YI@BlbD<-+UQYCMVNR`iSiam*d66Z3qDt_}ZwLynQ9#9RM zxD^tMrhs#si?IX(12P~UH6bmCQF>K*Te`#{`rR!WD{47Xln*@`sbq^KI*p`jh#1pT zE~+8;$9}%A<*{MiD2vp#gJ*L_vg?&%=iYNO?61+=WGyPKq4C!StHBZ61 zeH!S*J)BbG5^a(!O9v|-iE!1Y-`H4fDHV;WD)W2VwC14lO!XI&Z^Ziv!3ZI?;5!v` z${xGN9ykH#%vbTOF^>Pcq0UN~QB3Ed=PTEv{~? zznz@Fxwu7*u1-Wjk^%9#K#EMrc9yqe=nl3OlP+W_AS}$a5FmF4AqYERX$zJrO2zmK z5}^hTg>=RGgvS>zW_Na9JAz;c)xyAul4p`{!tG^uHk&=U%U}!B?Q*n=Tnn2Vm#33X zhl5TO3_OE&4G)w50$tvHth8(wLL{_X6r)Mm$~x}p7VK$1d0p#gR;EVt!~rThu(FfP z!y?LlK+pTL@gKt*WmG=HH_CFPa>E~7Q$uR!eqeO%{9y>BRoq3YxMn@JaLa_`=lrjj8M}Rx3rg ziR+H|;ZGURoZB1U{-N*y(7 zl~%urAvnj2oB=B%-*i^`~ye3D@zY##CmuM$Vh-xgG)v%w|{#u)Ob?@v%+zR9p{*ui04=JirqO$7S8X+ zWV*TDTur{6#uDpi&HE=`oBRj$Ap`jj>N^ya11o~UYtG7q(07*FQ&MnbiBWSL;AqY) z*6lu+rFBut;bA|Vcr1{*x=Y9zqZ&@L^6g$OG6}kL0kb6Yvn6WETY6tG)^bjlBKn)H z;0@ap%x{kTOqO?1n%bU#H)0a5dJ#?1MeBx~4ap-7dyeS6>YwJYqdX_mI8ZKe8ncv3oGBw{>?$tr>0&`Pe=OYHyyna~m#V~2dJ zj1(eiti)>jbO-1jUU&HtwapVl4PbNLF|uHhy$T{*3(k8D7Y?RiCSz0k?5V74|JXJ+ zayd7mFD_jN*yPrU)7+!SS!ng{q9VpU_uOjlje!ehGB6mYW?}EV2l)3&(Ih)X-Xb@3 zbY*64KtbbyV3bp5MYb)oQfyt`f6B36iq6QMQC^atUS`Dh0_bP-#>Y`EBU>n4PN`~` zYFg40s5L_R#5-FlLoR&xjWzIHP35qZ6@#QKQPy^%^IamN-(p)zMp#U*irP-Z60 z7Oxbp$s!oBKPzZ502Z?B5hQ14hyJ-GL_7GhVfNF-cv$q_w^L1++OhV1OwXC>!S zOJBTmSfsR=n`d(pF80?yej=;UNh7d89Q(I${nWQ4QkUp)yvl=hMY1LIym0gBB!_S# zP@?b{HOKG~>@fb*NSeXtM|r5*QI4Q5qf|it%*iOVsxquv%?(Q56`lxFL{?~-*kW+> zxP+;ZBez|0_36=1eaFd;Q|SPMzCheZFBMzG*}&nQ64&isOJP`vc;U%fKoJn|Gf433 zv8PP4c-eq%om*!;ZQTk4$88xSDzP`Fm1taD0c?L`Lr&i+wo4+^bA1E;BYVl%7+U4^U&1r3fo@xke#g zt!^JZ^9Who%q9R2tdySaL;Xj61V2K>XVdI{V%F@m62{X~MVG$zvj0t`fD2D=0#(aM zP-ObSU5*;ZR$yG}-kTGvsBkHy0 zE7k_|)7NRCj`9Qm7;ShS0Mo0#g)BwiooOBC?m+<{JKp}LVhH;ka`pOl#?d*0Ccf@& z!Oh3{76#|}zC|KOSod@oEQHm@Oa*)lF`^ErA9S&hsqixqbDpbOAmWn78yDXmO8!zf z!N_BSm;BS97@05l-stciLt$G+@0w-dewx{jR-i%k@PCLvy>=We{TG3xUBCGVe(r)8Dhr zD^Dh=wd#(WlFc$jUBSp+Q~*v!UXF*5T_y? z)qg|Vi8Tl{K6!6Cws;1Gb=?`7m^IoT?;>OAyn1=@LgHe!c>Xzl4@J4_HSD>G#0)zd znP|nljXDcwlq6IeG=l94C&*Xl3lr#6B&$Z4g`TeDVPe^}nc~ z<$`lg^e#Y`h`U6ME@y}9@@YEHL<7A_^v(d>v1n+Z;9_=Dqp;T>-scj+`l*EQ0U*cD zYheo6sm6zJzP!|4R8Gnr+<#LI=uz`+^YcN1wGJ!!V3Ozn>W)hqR?11a9>~>T##TZjeW>cATR- zC+rK^fsQE*S!}MYKcViQdd#) z7d!*2O*Y^67>!j@*a7S!x~GtiTl(aVyLiJJ`W#o+H$D`(ShG*Aa*hY+V0`%8r0>}9 zRb7}Y&B^g6s3zCizk+~&m?`xsb}f}D13wP=fIbOMvG_=w-2vEY(tta+Lasxt1jwksmxlXeg4 z^}y#9u4K_ig@UU2gKtqhQ=A^z&i&WcKVNBcOa6SW#b27mvBoGn8+xA2nw_43&*D=< zUF+BmS0;*xgB^c&l)!fO_J;1QvwLSeJ0OnmjEz&@PN&bE&&96MpI1MN5F{V|K01Lj z1(@gXJL+b@5$K5Hw15N#mBMPbQ6!9bI14>yxj;9|NDSH*}XCJ%cX?|afdRaXFSWG7<(enYniBUG+ z!R%BT5Q=pHy!QPcAe#ZDUu#_U|BAw8ipe$JFGOod;L)pFQ|?`|0k__d3kaaR`oZOf zLr~a+(^c7#+O({xa}*Ji66_@J1I~YDikgx^^ovKyaPSqy)n*T%j9awPSI)Y5wN{oU z;p%C{(r>k_jCw=+1EY4mX=!JS0=NQ})F=aAy;2bS3zpxGpMuAfSqwvfPXcyRct>5; zB0T6OBaaP#3H)UpqpELiBS)4iI84slIybcKatXD+GtiycD~wk)s+1@~YfDGEgArqj z%F~zz#qCK#qJ&E`<-e*us`zVQ56KEB&ve;rN6p=J$2X~|fNv!*;wq4Q4HGoa+ir9| z%IpcUW<{T-droLqhUhFvI%OL^d43kS$b^RDXv0a8r7n}0cY)5tvu(@b-TXD=n(^58 zrVNauZrk_Oc8nAEPU6%1qg-)5HX)LW$#@tui>m}R`XDk+x|)*YQ^Vhe;_6Upfy~3) zk>u3KrL(NRyJuI&&I!_wWS5mF!N1+7LqkrCxx}yYwlG7UI~1-!E^9n1%mbQ^YBS_>3ck=;KWl3z5c^G7EeYsLc9bin2Py-y-~0Umeu; zj-bl^W+bv&=9tT#nsgIiuPuGFL?4`r2j&@C_yO(IxMSmaj$irKCeswzSkQNi0e&Ro zM;01{j|buFACua^x4tJTv}aE^oU+s0<}}90?swH;5h2ubY&xU9|8?+GD_>>xK)ZZ; zF5CvbVDVbHH2zg}h`~+K-x*-FARWP87i?KE$p~y^KCMicCMa#Ofa_`24*0`PCgm); zC^jxl>+3LJOC!EB)FYv)6~1{6z(4$Ro0@jpq6~JmUNN(6Pua(0T4~cX5KjxJpi#S$ zgOlZ!4PAzp*0JO9=+#nun0sLC;LyrO)BN4Y$7`N>BF8IPzHHBDM<+aMW2b*EQLE7} zT_*3RSH*8Y)eFN|AUP3NgsZgnQnK9a$R6JttTF@6n>A?qAka??QI%C{`+x_{g1ov3 z_EWB28Gg;-HV*s-h-b5qFH9}dkg=TEyxX99=iQrnc0Py{B9xlM_!`W0e{`3&GnmN$ zeHlqMtqA|4ptLUX-uRalS}ocU5eKkmw+gAVhEzsRtz&ZgL_Xu#(Oeq;z`y(vU43@L zpiGW?PFs>j*52`<_?LPW@mKJl8l^xg#=?+lrh6G>5e4cN8rNuS^LJ zAhTOyb6>Y=A7$x$WMD{hdR!niH|nHE>r*ExQ;*gQG0y_2*c|p4i6ixVqq^Y1cn= zdnFdo?@fOOlLu+0_EvvGz3YQ$8D&VdWF5-q5-V}q-%#XB0t(Oa~-`r z+ec7M3ocfC_Sc-a7w)GYjBcPLTTC9^31TgFh@{t*L$J9%d#7DO2(As(__TH^7M54D zcxq*(79YchdS3cxt^HwY9wi9e+Ga^jBMZkTv|K_NlbFyR!U@JI2*Nrtw7)EqO=zQK=pksHI+Vr&?hfpBAqx zCKe!H!N7!$-nIikG(hCLEtfYs<6-)q$8Q+7^ktj-0%@RK!_3V3GhIss5_|FV)@*<; zZX$#w^U&9QHA%xK1`7uc3_7ANeSK=Xe|_CT7<2kpNWu=}wOMm2M=LpOS&Fnq`Vy48 z@`7;3l7@9k#&cCVMwy6w2Pkv|NAN{8TnA9>HVTR;t)valwZces%9k9F_T%LJ4r}~e zcbt*%e4v04Y+*7u!}uBu@J=kq=~A{l{)`zV~n7dfBv!m16g@f)aBN zLu$cgN@q9!MQesndEWBFGlP}Oov-O#ov_c^KWeCMKspK%CwqC|hZ`%j^z^=Y8|bkD z-F}I%)7fm53DBMq zmq=rG#}yE5Ohufy}AT6@$d2z+jT7gT6r;xW9u!;rKu}fs4jDAkRrA6usx{h zt8()soe1;SgUH}OmBLupX{IQ6Q#vR`FR1K;vzYdPcXiSU938=Z}6pAZG+~VCxuKVnQVI;y@MEC2ymz-q$olu(8ih*$d4|8PU7= zlFZjZ6oC5;~CZ!c>CxBE^JDOVR4o zI-S7q@|8IO??mmLM^2ahfZvI+G@G(QfgY!>8NW_mUmiT!*&DFUpAY%YVF$`S`p}200ifhu9 z(hcd`)a!7w{FhafnX&e?t6*o&83}<8KmC+hLHAbMoi&{g8P@m>13kpRK6`@a!rVq4 zdk6i5x}zW=?SG#igik|A_}OokZV`mk&+ij4EHry~iKB#s6I=v|h0c)Dg!XjZ9%FS4 zz{3UvQ|LAMS~0Dnb#x^8vUHL5KESD1*c~#T3>yf9uDD*vz@t-Hl{j5+VQy~&0kwk3 z>9vJ4{&E+x!L+JEO*K^@o_N(o9!SdK9TEjG+~5lML^4tHUp$o_{@O{K5nL*k48h9_ zB%zbi$xUzCFnfl9UXbpFj;SFg{I{&|)!NN%*LTv+9TxbT+{g9hTO~tjA zkCYN)@TGu!dsxAP?kvz=zMfnjB1UV_)|;JbV{D$+P8F0vKe->1h~Ev5M*WFSo?Q(o0TnuqqPp^U@G$?IDnSOk$eAw6b(+=rIhj@M3I{84o<{%O$C)bERNGoxcQ}ysCXLP7 z#qKScT}6!O$Kt#J?Md~X{*rVw8))LXw?YW28Rriux1szbh*b!qb4%BW0?McD{ZHs= z4H)LtDMjQutj$!$F}zM6$hW2ZMFO!1hjT?HDMym7s^}2=GIxz-6~MQglB3BPB$+dr z{9x3O?0YOVVI>Wj&qkZrdZS_2=FqR(9Ag~JW0|u0&|gP&qx$6G4)~LwrJ1|MT@nP9 zdy_8=gd(6;m6@_~Bey{hfe=TqkSNm9w>7U+|IUxUs*2m!%(*BHphdpnn-DA7w|qs_ z8OPFu6R36gg3Nxj|!LZwU)#W5Y!V;?zMkU zi;l%{LM!j&XVR{OY1yeRzeop25(7Ml;uT~LMes0@h z@1`$>SA1KHBB+L{RyZPBnE;)-DJSXOBXo3p;8iW2TW$@n5F(C|QgLwBF_ZB zVg^i8X1f&?(WU6_-u=C)LtL8AdYVox7q@ZguF<^+QSk?d@B;b0XEY&xg15JoG5oXN zzFzbr@P--Tlz!r=n{K{qe>+TSc;CK$270iPuAn?r#)9+!SJb?y^vT^z8iP-@dc4Ly zHE!Pr4Ssbg3&R#tG;=O7Z{9bMX@2+FqlQ7~Ifj){?;IHm7c*F(&$M7D*S?_n%YqS_ zFY39iTTRa}s#e-Bo^4~~Wj|H_XsZ-k#fCw_Uy2=7iVyfesHtaLq$8KA#>22Gfww&u zJYjR*19RPWhEQ#{g5n9(1^gzN5nj=dnBA8-jWSXjbWX1EZ}}vpOAqzKvlmi8IXt3J z%^2Q7dbvM7-AV32Pa-RDTBZ(`ZiX1$BDvqkT_m()DC*3~HgP}3=u`sdoq?VCuu+~~ zsM^cZhGv0JGw>~tv8NY{$vERw_fcm$bxF|z{!C$Gb0w9CDvaNL)byAPRfv*scXg(PUjj@BNjq=uSv-y zQ@=bvG+c|R8HNAwb@Cj-FVKKUYc6RwdkYo_qW2VzjBtAaZ2_;vH@>J`!3};yXfCqCC&0% zg;lgl@9QwSC}5;p%+0|yi~z3I_m_u(Y+CDI%v%0rx%*L?&SbABscemc1g zE^WmQ&xog>j0Qi)@Z|A zD-u0E{D~M@ZG*j9Ai^XTBAHqtm|$X$oJ}BinH40TM9j&06uPpmyIPy*-4o9xT?eWq zoOq!^!fkP=J@n07$69y^zB1rB>u!JZNSE3g&h0VCH zcwCmU!km(1ISb(%FuCC@9vt#;J!eR!b>%61w9>?PFf(E|%b0)iVm02U@Njr0s{}>* z*9RK+1cseB-im%*G%!7F;-kx=ObIqNMsCkILU$FX$$feSJpg{7)zY|aNjZ)SX9xwo z*9fmXX_KHo8VA|I9m3hgs{a zHIS|j@h<^IwQ~pfh2?d21ky2D2c7Q+0WI5~Xzf_-=z-(X zdI@he@169FsdtiA+I^_Cbsx1SpRKEuQw*BAx`8f~Q;uYVyZHj4j_zNa#L`O)8;VjM z91dYh!NOYUBqGyC@c10n&y7ZzJL>&iGTr^gkBE}e&KA3@SAgo7`etFRwdi$xpk1>1 zHsWygzXhWd7x=u#nnU0!z)A@dPiXd;n>hq(#bsWr>3Z`Wa30rByL8nM9CuYA1nrJ- zH~BYH$>~5W3<9h)CGtf^(zDS|T(hjXmn|S8usi-DszrF-hMMxIf`4?7XpdA=Z|bWi zF*@{q)ObpKhvMQAb+`G~lP{*Bjm|hq z{CRj7&0UPPvR@-|s@5Ou6&CcD5!vLF2Ctu*gS(D7cQpv(8IG_7(uoKkYR+{Y_6wP` z-p#Fq?lL^~#wlr;7*>klE-u(7(HAiI=cwU1GDz4J|vocjMqiCfjOf*w&Rv<$TknH3Av-WXz#Ehf$5;BYqXtlDtC-O@O?PtBplop z1$X#74RAd-U1#SZ9?rifSWY9OIQ#;w0=!jvm~Dm!+DBVJ;U!>R2nVqTEnJqcSuT?m zo=8|+Zfni1$18sqtTo+2PhV22FZS`?#w3`G*y7fCO>1Iz!{3)r-!nViv&%ENNWY#N z>X<+pGG}YnaOk?~&ZGKwMR2i?a$0W&C!+b@>Yz`)c}e||$9af7?*a}tIl8^a|H<(u zbelkm*Ryi6NzQ+zjmI);gxh=03)Q`@WMYySosh(NZ*BI(`3MyIv&!?_wzT}=zI}EO z2RbJCFV=%GQ=iz5qacLUc|RI-6~s}cRUl@1|7}v?WDJ|29<1Ce1hFWM zoCOkqd|d<9k2gpfHSyJ_w*fyU2Q3i_Jj6Azv~`&}$dwqgFf@xCV)?r|Cdn5rMO^Pm zdHyQMC)0xAvet*V4DyTc(LkDQoLQII z!J+)o65cM?fTLuIdqi2N{YlxlB2jw7V~QhE`kZ&J;%RCxMKQI~;6!FEV8NGA2k&4? zJNa%#nc}8*c7;e7$nfXqM;~c2*zK^EQc0FRNAb$c1@D z=wjsjHAml8fUm#s9`&u9KzV9NBCY`2BPQ;Ib>jn)_teFoS;;%Gs$$or0M2GQUa$?z z%d;z-|2TVwCOeyZs{i_85QoEKyUoKvDz8BlJezccOW=E8p7R^wCwk1FnCF()%^mW7 z2ozIHBKx<7pb6N02s~EAL zA~Z4ND{{a;uXgiGl-j?Na{{!;+ld}J-?db_>r#P~wS;zOp%>J?U8lgvP^ediZR?B^ zNQ*4zxLAz~!Duf+?9Vlm&4L-eVMtRs@wVvExwxOteH+kYY>c`rnH^Ba@MLcXJUFE(k335-BnfAD{~6DG+7;pc4H~x>9;Ws!(FesF-g}>V*(N za7Nr9ymQacnzR$BCK2OAA+(ve=#~;C$-0C%LCvRVip!D5BX=eTDR3_HoZ>@ZQ016ZGEH<|&Xk2u)mOsvPQuXW zfkW4*TC)kOv*>-maBB&?#)tdhj#E2PFc}r^m*;Ur)qR*Kx>xPf%#|98i{Eif%91uz zorNJu;&8ms?2FXS#-lTR(!HH4R8U-=t4Xc`rJ1BN+o76kiJXc}w5$WCJZ*9CG zZU?3Q`3|DgT3bUP+TiF-r>4{*rfDutKMz?7q#;knm2q!X9cve;VXvWug6F!Dv*rv> zTZ^AIO$Vw}a3}cJvAA5XU=c~42cu*834Z))2h8>;DINQg03boYM!pGkQ&&r|C*6YO5us@qEY|O{u}UmCEoo#^&R*u`gL-Cgjovy^euH80|+SM zk&cF$L!tlSUmlk2H1Z9&z`Y=Wu7LqxI|UE8BJwkz2b1th*!Xjy%P~gvqZP`%(?p1T zUz%c7BzYr7w-RBwM#s`0hvBu{aQ&l6IDPr(zOj=vk^4>3{hIxH(d67nazM^1ar9AS zsFU%-q(A@#t)~8vEnMZx@cP4OU$?VBf-!mB2EgOF;a<9U|uIX!_V>b~bo=FGP6LyQx!5|FEAB!0L>g zu%;>-*JXU%2nkCKl5mJ5wHKP8f|NCGks{TSa{uplS^Hu7E*2Y1zWj?uO%}o6z7=u# zy!v1L&kWCJkguW=s}9$Y-=m>*f?k9hKL&1$5q_PR8LErT?!pA>evQe!qmkzs%vl8-*N%vxk^WGIf z5$JR_Tfjeq&$!_3+Gx}58A3GDP1wfWQPOziF1rg;h1eslsrO)d(p|Lg zi^UcmcyP-yp>y6NmopYQe(dpBpk5xOu`2v)yenkT#(~*ni|RF|3lhK3i&LbzUdkBA znN%!SRZNLl*bLY!WYShYmE28QBzccB5P!q$5pK%sf1VF3pH zS79K-V|KjLJG)x%tALbK@bCj|=Q!>+jt4z1NbpmPJV;i)M#tk=?Q_*A^W2mZk8~b@ zw{LS4K2F%mF~IXrN$n2EdGmXGg6Qfdah7BbDOr=sY82iDpi>9mQH((hC{Am?O1+V7 z!V&DMOSXQTlRj*CP_;+H%*R1Z$^ju*!)rXu6OOXig-Ko*DR#Wz(wi4XWHEgjPZbYq zfrn-32w-RU8x?pn(O99cXpu!r=T)-i(B{7Hpuq^ERG@hhnjDY(ddnz5I|K@6H<1KN zY4{VMKIIjVkSc((i=3U*4niG#c~7HGs;La_>;R#mJdPi=l5Mb}5b>2kT}M5sazaqk z^Tv~O^f>2WD=a?QGqCHfbg&d6Ve?iZDQ(~9u&ipGLapiWBDz){yK-kid8 z86+5PcnZV>jzw*3l}adav0oO&dwD|3^qJi~-t}@>MMrvm&;s56EEn&t zzTob2C=NUU@{&Gviq!lfHdWfp3eb|&%YE#HdHIUIGBZAOP#wbZ{+-q~8 zT-Q`ljI!^4mko0v`%}#2O9R3%+c-?w;5QDrGz9+!k&2KfUUS5i$X3y}8?m27=k4(S z;#^#OLSCOm=E8T?_NF-Q8dmKO@bFSyq1YwDwAf+z zI216^R*~=B3_xw1h^P+p7(idVxA@^}*bEx(lJIP1Xesa6Tik3`YSlF8G`cafjF=4d z&LZ%AXfE)BG@~G#@iWXlx71)6_Uay{;On$E^5NKs*x*Pv1lyD)+*V-drd8W$+KI5y zX5qCG2;V{lHx&w5JI26EMLAigtGW-6uRQ}-$BluJWaFI}hD5L$v8EERgNTqAAx=hq z1QodmDk7i`t3wvu1JO(#nSmN*e)uaCS!vmOk9!7pYgFbL*2CcjQ+PdN_vrJhEq4iq8aJH}C@3xD+ax#q{x+Wi<%CT|6~hbTTvoMNLDN z8G(vY(Cm2n8ZlAJ-j^3@24UvK*?^~`hXN5Jv!Q4-IOP3SPBaeDgIXL$-HNeskv?;O zIy-()GI#03N7whX@oNdA7C9v*qALunz99)RUuzmmA(qBuEU}@_ZdV4}huL7|m{J_n zCOh|PqhW5WoUCYI=Z0Ivx+YDp$+EJLTHM3;Kq@|$SWGtokRv%Toi3BHB5)EOOSCjy zNp^%p*Vz|`637k3f62pa<~LZK)wFW_zmQz~w*Au#CQVoa^!bfW&>MdZ`bgyjJ}k&;ho}E_Y9Rek`z${x|8h2`dI@j?n}ucb)9A4SOf~F30jzO>Id8rq4HNv z>!fSM+_?$PpgVQmpxU1rm%j)mwzGujB45hw{IeY}JY^7emxFVmg>&@bYF6zFUo={E zmMEKOd%?W-=hu~?hiZHjOtjHrto$9TB+w18dkL8M^Z0q9BTet2?0$3&lz538h6plgJAKMw%DZ$1M!QiLl4x-xHh1wVU?1+*qrdX@IkNd(vG~vR zFP`Q(X7&`SQ{m+3ZhpxrX*Z>hU`XB*4Ijm||DvVnER|CgE-Xp9Bnz|qib)hCwX~ks zInDFp^K@u%src4uCUbziBJQV8C8hC%%eh70=&-*sO>63&9RE0kFazb5Eg@wP&V(ge zU9Dh@@69wojp4RZQ0qp5)7dzUp z-=xW`PNfe^2&WoaZ6uAY)6zZ}JdRJsLr7%dhOE+>i{?a;Joy`0_{>kqQx#v?+}opl@17Fs}81o#Q2r8)6aF1bBhNB4if|e2o%`S z4TX_*h6WK+2mRHO<(tupTjH)~<^r7!Y4)xN7-=IG5E%Gpugy@Oru))8Pe$?Y{xF(I zSrAAO+}$hUsQ$z?nP9d$UUt&GU6#}BA6WJz9A8%Lx?kBt=lc6=c_srM|6RR`_a|?~ zaV!M8c4DfwzFGD%@P^9uyqeaGs-^sUrZ~)mJpZCvjMX1dYciAJsZj4W7;QX65b!Ie z;A7^Ls4Wr{y@=on7HAG#-(EU-^(tGrj9D;Xn#BH;LI-2#0sc({3q-}4zt7L2yJuZ> z_(6yM(YU_nAV^^PX)fZ{7F+Yv1DfPu?Z{1(SH>X&8%bj#Vje}Xd$U#o*Xm3nX-!(s z8>Ff7w8w9BKa`W%)!B}0RDegT4kjg7kVG*Z zdIP&cEo5`aMj)hscP3*w4kjE#cTq^aR@|m7o%+gVV~cQ;Ks9m%A0vINc<*1T+bbSN zFjY_YOA?PVeJn3?`&B_ovl<)H`e;~@Pj+9Fs2=}8vfRo8_YQ6|Q>*FA_W>E4L-QAr zWtU1{Ln}`_*r$0HSWQD611FE)c;OTYzyJln$=7gWoFs7Np_|AC z#m2R-9o#&f#`>d-)QMjZ`EX4cM}lJNw4J0ij^i#pzRUY-$H28CPd$lG*B zJkn!uOI&{rM@o|jXle=_of2%`eq^$FoiSF!Z)p{7myR-|k)C+wY-o^k&{?Tl^ zKH60=u*7IZ;2fu~yGhRXWQwia;e^&FR8Pz*7Q2YhMNOo5a^??$%AbqBVbnQCU+ktG z{=!p-n!akyh)S~+t=aH>_A}v=zxH^+`RD0UpT`=!Z~D4!-~A5P`EZ|~2taIPo2{Hg zKv5O4t?vO!rI4($wnQBfB>XMzK#pHU$o)U%wmj#7zFpwPL!{@`he+)Jn3bSW1=Oc` z-K$|$K=XV3aF&c85kaEWMt*IX=)pumsEKuqxVQ#=lTsLW<}C|uaL=MN5@sgcIv=P3 zphxVU!hwa;QoL102!!U6{}`DBl=VbeRykRgqTLOrU%0}GfLN@tK7`G}p7oX_q)tde zTlBA6XQ>TPLe(VzFAzuUvt$EB4i)Z&UUM^F>dV_9E)Y!JHOP?O5InsGGN&f2Xb7lHUy{o2IS99I7&T-%XI7+;JVl`|G)mKKlNMiSmY=uicRw&eK9c}US**!{vBDP zy=WUh+8~7hy6t%_Qg1XS)o9P^a-Yaz+7#Gtd-KbCY^o}0fMyMi>d#a>3A4J&=3XV% z!5?L%yJz8Sf}s)3>AfDn%Bgaxe2saj;rAg3b9znyHf%_Qj(aoJ%4d$`K@65Nr(+>z zQDgFF%SSAm)rFXAlsKw!p8>B@GNn$A5%oB1>|W}c#1y|Tn+_H#yf5A0zhT6^Ltc!T z%-rwCwvmB)=Imr%!o&^_8Y@IU@Z4` zuYvIgnEA}Rk!JXKjR|37NxElFFntCrCHd6l3-~rOw9)_7*ELDgAnpTAa}+WUFdXZCO8lzxn9mF~$T z?p9V>q;9LIPV@9r3!J;t)ydM`mi{1bXo1v8apcUpY}=RgQ(^DSL;QSfHY6;>$Xh@4 zyHI7_RZm^W15-KqP7R7h?t(Nod*n(=7YXG`G!~uHSX=KVj7szqh-f2*0j}5|9d&KD zA17$B#(O3A05< z_We2|LCiZsr;vwiQ7{MeSC)3u5LTbghr#!iI6G&e<8alC!RVf*BXZ_zJ91C%Ov7wU zVCe)YE;mjS*7N%2c&8|w27B0^;BTCL&37sfzA zJG(S(5^8TT;kprxoXG}ij(fr-eW$(2$L2}$(y!~x<|nyUdI=?Vx692r*ho)D{F8B# z@UFhCuWE)5WScnSIS02HJN~J32e)Cb^M#$-_pXr!x3~2}y2B|te8GeF!kC-8oBhtW zXXebFpNNI;S8jUeueyNl)pI=cKkzx5{JFDpl<90Gvv!fd$9fbuP1ZQfevmlF@$Vk$m^3W^(~Pm%8_E8iVsI+# zKwO^!(;&};d6>!Bi+IIF%Oy+H-5)n@#iesd^2#-tNu+6|4|OZD67pGO+^Z6q1|two#Nv~~Zs2s*q$%;4CM+)FjMBt_q= z5hO1}@Ju;a^(!}4scU&|Q#gWnK&+8^_~;$fxKfN}_D{=nHCY89rvreH1$Xet7~d*Q zuJZ9Go(7dois*^bSo3BjX-K|i>Pw z{U+FJ@}>0eM=n&92s#Aj7?Q_L0wM3$Ipm4NhQ`@5mSetHOtE@&QBnO^xD{) zoRZlrrqVgghg1=bAz_JZ(cHzCd}7=W%$zl(@EqMvI5DhZ9J6fXQoOXdtmIcm!c}TF zcFkG!aYHwmm!nx+k>I-1wT=KQgX%ej;}drrm-Yxm2xW*q=1tWbDwMJ{HLeX{Ym)t> z9wLSHJ?Gw%u0gv)Qxh`-s`g71RhjUnMQo_@YWSmF3D{=b&wznJTZ-`lAR}qOLx4L6|T=}jJ!$-+HuTkQ&1XlguaWGBGI^45PPA3#U9b@)r*Dl zru@yTX9RA(9|L3Gal54}DAQ4G-|8g^gj_{Tr+d?+xdrHvX*|Xb;7Ky$GYc#4y?wk~ z?71*kILBJ&2yG%Zp!BDypH0r|oIGXQa@ZRpjPlR)rpluL!-Ukl5$cQTk#u>F`Cm@_ z%fCxR(^w8(F5H^aSrAwUeoPI*$Gb3Y_^-fbu)JBD@k69pyiBT6oSLMQs$n+RTLWH% zL_t^IqcC42o-l?bo_idUJ*v&A;41a&Lv`_T;2&?%%|p^SNYJWC-q}14=ia?6ytkOt z2!S-xDTNhtE@v*7lh=-zf_9X*TYFakCGx)~&wFY~2@f2VNIhP2*sqFO_e zHM}-S;2?>DmwilHhlpx3LTOwA%Zz!Te5)4C8jsOqDT>yIIfB+t?Ml;OSFd)a&Zo!` z*T(81NNV&*~Kk zoj#;*u}^@bH97(>Ut^q>`?{z2yFzLvf(dpDeyhQ@-u&B7`LLAl=HJ#}Zv5E^*0c3N zg~LtpVJ;GY#(-BnS#-uLU-f9P5~hnkKw=6TtTi7lr=GOq=88M`J(#?s!x!~D4Ac1! zW^RvfGKA|UML>8@0WB`4xMOAoPo-H@9$}8)4gWNKSdzbiyxp}^F#*pLRW!D5&>BbF zcW?5G)MU~SG9OHmCGR~WzP9f3S0_be{kk^Cb}o?3HWSN0i@}N%Jzo*zsG#xCvI?Dz*1R zc#3z|m}iN?&~2!jV~puu_e!_|vh+4w?(!OV1Pe~Vp1t6x(vXmP76{fH5yS=Kf)Azv zfcGL@wHRr$s|tTCha*8e-XIo3{Sz?j{zB8Y*AL;Gg!ybCnl1U|+4ZPJK@-jFiu8m? z)|MQuVUe6R6(FzeZ>jx}XX3|S-?w+m!aw#yaf`ykUPKxWkR!?!?!Jq7 z`l7t(L;O8Cj3`?;xW=8?=3b&2#e?3o9cht_OPtP|{bVCl1{^7LX3&&rLt3B-Y|@+p z!*j1ts4DXB@JMWDXLO4V&&*)3Bb#K$yr68KiTbLsPrZmRW3U`>%9iY$WsBvZxG9C| z#*931Yn8d@`H~Fn9yd&UWDls}n0*4kuYl_Q@kUolt1~cbME2lNsY$;ylzu0u#Fu4Ua{`d!2j2MT24A z8mhG8W)Zx8zC!?uR+4vu`Na}zwGX?y>Q)F5(3071mkVz=a{{C=L*=#UtaHPn@KzE{ ze4pMTMU1DL)or{4c*w4LE5@$jHQC+_8IxNWExFvQ4E}>-@Mmap`P;~7>|-+gX>PpW zSJOoBN0Y?4S2zBVFMr3pOrnSR2;?aIiyWNcR z1aDoF?r+9of{XE$zeQ5Ka9FJ;wiYJw-bO&%mFHRH&3!a@9@#h;ii_F?c-X%5`doQc zi>A-(KO&<-Gg9(U7;`H^EA!xfcl09IXry{%GQWy53DGd|is(Mb?52`4nLnW7{Q#yr z7W=bQV=?4B|7)+{Jjl1Lja7i1>=da0;iW#A%ou)k!3N_Fv0)X*Q#FH2?~^^xy;MwY z8|Bwlfx$#H%}S}|O+>cg2OsJvp9{_hRac;dVCXI}?JTpq_CcxYoFVUISS=fr#nhgb z72kze%7~rTP@Bq;_`qL~iU|ap)_G{vZk5SlMmT0duMx~`Nbh~8lg?r+RT|o8-7_*` z-6fu&_%^c^7b)KL$TY+SWPdbYq_;NyEIr%(dVdFqciu%|^1?$E_<@7vvB-LlXbz-&7EBmmE4hfBHw0DR)ZEp_xzcnu8bKdkJ5_M#r?U_ckdnW z>wf>)3JSNDqcGO7bnK4 zmY)PT_eD1nbX2rY=At)66O2lWEEL{OfBo}A-7HPWRVnU89CNX%TBr@)!6Or|ib*Fv z{JM1tP3%`^e)yP{b?6;$*fK{Og~rSZVdYDR#({r!BZp>wW8bq_A;p!4K`=mZ?6AyO zMRcl7G@1p;`Ad9xV@X$@Pp<&Gujp>qz>SE1hB~jg#PR7@U4HgL1D%}Dy5@`vdm1$r z2=Fj%-%ZR2Zp~Kfp1Kgys}o&S_RkeY=+tDlcLc8lq#uE|8|&v^ixg%5Rhni)n=ZLP zy$*1{j=0P5KD13Vcj(n7JsScq(cL$Q-_3(4I_7o{39HV7{c~uX(TCr{+9y@wZ)OFz zNN?l$tX#a&J)iz2*MI$|$iDi|aKF#6zqN~1sg`8JJW63Ld{F0!=Y^;J;y*A6LOn|`xx*E!WuxU$ZGr7SbKYslQO z^HtT9%OsOka9>|&29fFm>otB@$Hvzzb^)ZTZYuzyR*b}b0>|?2XTD^EC;+a9<+XZ^ z^I-}_@yPGGQbST*O(zTP^cESE@U5Bx1mfx$BiOgqXcwe)K#f)fdjPR4Oc<0YJF7;V z8P`l5fv=eu^OuPLuzaCZ2r!_-g{^PH!R-WXlrcjjkZAFngXoh{S~`$LAHHralqF+B zY}g_7hm319l6XJaIzG}CG)f*^GxcFDz(`{mrZ8x z$Jn1K6smScKV}LvODc?VqYR8Hw-=Np0D{QsvaAj!|Jhh-bvZ(obj|P-*H1^(y#kPv zY_(TL5|$`epWnU0>7MM>n*gl-T~Vf8Xh5Hk zH~r@Wl+PE?C!ZJuBoVYH7sMqNgcXFGTo}{n7=pwF>?|6Tdnc|fu1EkIJd-S*H3qc7 z|-T-kKZi#@f$LDAfgDFGWEy40us6ca68;+X2{PZ zz^sb6k9$WWIdRwxlhC$h7i;_w zoM4!xD}f$g^!$<5F}57usGLUaFoiOe9bT7IZ4VIVEDIsa_ptVo0c8ti=hz~ssijjh3V}f4cQ;bf zb`xD^RVFGeGi9+sZ*Jq6MMo-++<4PRxh)WvTeK};gSB=H0OG=TwWmco4DUh*(sWH5 z>4w$s@~>WP`Oc!v8o4P_Agl-~8HwWfWN~8@yZfR^VNzf%2+*WDaTOu^$yq_o>|gyL z^m1XS2}c1w)1}ltFz!7Z=#9;$c6Wte;bp6Ikgv@~u1k9aO14Zx)P;hiB~fZx`zY+Y zUt6#^e?NZt`y&=~ow*MYrohH-&}GCp(mnK${Ie4Z5#cR4p<+MM**pH;Z2nTV?!^fI zS;$q0h}>mNfuRrQ**?<|1AF&`k?!%4*Se%(nUtg6iv6nV`2uUrGyWwh6)l$KT199z zzjq;Jc~QU}HsM6r1JmM5zHaq`bQUJwY|TP`i$Mg>?xVYEAM9qfVAHm|sdm{@6=gp$ zInK7irXIPa&q3|jyRA1u*rpdI|9dl}wt{{{H(RXDn037&RieR+HqK4(s*<{jquPU% ztBB5CtN~r;Ne=e<{IB;%S|)}+8}+Ld4E>Z9WmF+@GQoAn^s$})B@>@0U)4C+{a|soRAx9X@BptFY$fs^;hkx%O1GzC&t{`Cr@ptgOhiqptbsjjut0C0>~y2n z-bIb-sl=)=PV!YP`U@D47-T~%R(9EcW+{B_qCciTugxi(ud*c`ncj)(_+0y}-lqHy zrW%Ma{P5|noS5o)jr!oF<9I#qlDxiJKF4-7EcJ!V+Wj36DF$2(4zMmC-V3@hzAK;R z9nEXMzvzqecn*cgbPPAwY>C$ApAH2<_z-i-mlKB=`R;HY((};^=g_ z;n!z}GdS>nO*BB>qf3@BU`yVHrlJG5t`3~c|6UkOAMN1J_Zahfx=+;uHIC2gxe`;CVVzOzi&X2(d)CTCudVv|386`QC61~caC>Re zw74ldX@ik8kY>Y0^v|-{g3#>*pj2w)TI73Xa8_MxMkpP~`DeHyxf__QMwQ2oPA{74 zS3tM8|8zuRz@zd%avN+7!&SY<=gYPjzNh@#v4c$Tyum-t>gV{`P}&=Wj~D1Oa28Z| zjyIQLkw}=gI&qtfO(2-38F72|QfSaGQPtN)5x)ztXE?GmO)q~SeA_%VfhY}WC7tFY ztEe1ZEdlKiYey9?Tx#FktMiR*XX z!oFwVX)o~HH*G7aplz{G$j_H_;+t_@I;k-14}YBQ$=-<8I#zn;n3AgoDpf!96KI6F z`zUlsj*)dj7F21jmdHW)ha0bdk8@v}HMm&x_CUvAjXmxrpauA_p!OMFp$^2D1^qe4 zRGC5E9B;a#$Ep9SI%Rp@;0R!qGS8U z=HIJ~aRKIbG5kMRx;yFZyVAPc)GOw2+-6LHOK&GOy;{5mZk<(^Jpu#aex1VYxnVt- zoz0nSw=C+dQU^9(H?H@XK#QQ`?BYx+~Jo*DzIv5gm|wtgY;=JUm9mrkZ+c zZn>*a8g_Y3tZG{3sq+r>tOdDsse9zTIgvi1|6Jl&e2@9GC_2857KL-Dqet!(HMg4o z>loOan;P)v3HnV%%d57rv0WcZj<)nTOMD&8UY?-x#v)!&B`(gI@M;0nH#8cQ2#B0m z@S7mj`ojtinuY&j&t!pSux5d0u$%*bYMm0-mWzt+4}Gx5+m~_F4x)Bdb}6}%_uA^< z028KV4%8nHraHR9kgr$LHW1km?3IcN7c`>5j%SjNTsR=O+49SvpnJs z9z$wXcP)k*uSW)ZYL;d?Ub{PlCmr zf0j#-bKz@x2HgIXDqYj?z@s~U#_X(6UhymN^#)kUX)-CSOh6jIbP_jm_;YfJf+XJJ zO}ZJ#`?fK5K=tx_pTNHZ#e$_Vj5$|}3Js5}L3C2u@OlyD8f6)}vF!amD^cRECTpx7 zbGO_DR@Vaz)}$Mi8)4AEWF4MF%$XUDlqYB>KOsFiFG4 zw5Y$k3XuLB)fF2*R9zfwtLeGCPQz9d_wqcBL!w&kXnlZToGX(%jw-&NU)dPVQr(?= z=K$^=j2$utI&0V1I@Rv@SrW}8Ht^L zPO+B6vo_WxN`!I?J5JJc40z5UQOpvpio`x=+6Kd5<`C4*uE22M!Jv8Yj1Om^CnB5gfYX`jo^nNT zZ70~|P#qv?YAhsHo9?w6plJ%MRnKtxeF9ydUxmxEz3vxL9LLzZRRxLA-N(J5f@9)u z9rpTRzgrt=No_WQLddUEw59f3^iXuEo`Sz8!vW>xb!IT2pS3|q5IQ!-?gM5VL#RNi zr%sLTil{;)0`{*(AIFmmnvkU%(RfvRtyakLGOGh!G+25wa*PffqVyv9RNo)Wh-@;^ z?5Gv4>jpYS;6O<$&R}+XMA1n1-pQSk%m&QMGFrd5nzK6{a88eu&a}%}dZ|`Iz?M8g zTNyhk%GIxSiX5DrRbqkZu8Ad+f|9NQ2tvJumS44HHXZAdC8x3ZZMHrkX3#g5S`JXC znJ=FXxOQ>URDg}4(v*U_gp=2}t|UlOjhTuWJ^InB=!`C$peOpHIU9+*+3(&(n%eAG#z_ejuf+x4fR-ww4%{uJ6h+SR1(D!q=CAAj2v5neJJd_6PkX%2cU z#SM!%Y7@>L8%b)6-gU!AkA+soj^j3k>Cz&aqB@!2hva~=;|&jkLb)vF>io8FCM(?n zi^rZK(XT8!M47u&G7QpSz6e|WTn=8yH+_tauM!EuZ#sb@P16TpcW5)9PF+kdVO)0d zIuSoj5sfSRK8jXLVsU)?rj8EtGFk`qy0Bj&pzY_4D9IAiH^bPcp2EqOX2b{0DPOkD zdMgOFlX_$P`-y4o{ z)dqBV(4mP2-&A7mRFSjZP0?7;Nc~19oey?Sp*M+65F|dXJFDrbmuS3GdF!F9Sw#8M zJAk#6%jPb)jkmV83+N6)*JVp>`~hfJv|}DoHlQbmG6WV3wDs=z*NGI|{OucGuuH`>yyWfC?!bw?X>nOUy!`OuH@&1ozE=+w zdM(S;uqqMaOeiOi-Bj9{;@DlV3UUzBm7p(4e$9!;EIzchy&8X_e*e<#nZuGC|3nxU z&M)eET15@U{nMBmuc=|Dxz+ zQz2qabDp6aHSBH5_3OwRY@fL=uSwEm?xGt2?zjK9SKQd4F|DfTAjUP{Uz*8{lp?Y3 zbWRR$%axExgLdWJsy*~m&rwn+Z@IJmlR@HOh5E>vdu-_XEl;F_URAP(aygMY2iOW9 zOqcUp1V|^)tiG&eN>H(KwTjJM^K>Ee!mwOe5s(<=#eLf=pp$;YM-PFdm%~+?SZou4 zy`8S!4QU_=qZB(V7`uUYR75DOR7|)G8k)=Qn{H!;?!^O60u{bP5sTZ?=7ld|PZ}zu z0w}t%q4dRjYTV@?YW!tMicft+`k0w+pTnBX`UEh;hLz*6;%W^?cz6FvQ1TAp_<5!xgBMtQaZe{?01P0md)zm%)&9mbFo{qAy+G08ufSO=Sar}9 z$ukvFoZIO#(O+c0+Sn7wu9O1S!=Th5R@#nK%m}aRvonL5L<9th)+=BF7yp7|AObW# zQ2j%{n>-PE;Ds)D)R-D;*&3x%aD=h{dU_1Bn z3Hjw;miWAR$oKmj^nWLSoa1M(pM!&dl>Xz0ko_MBApZ*{!sKOd_aE@%zqkJz|3&^c zYBlxMHYG9qZ|a>s^(NEvK=M%M7Tm1PN|xob<%w~GD(*Ha(kK%cwjO2^QKAPk(GZ-6 zGDzgNFzl8}aM3qk#?`xmgq-M0KT#B<(6^BYWMr4NjA=O}Y5}x);evOg84lVwwQ+tPg+tV6f_f zU??Qv8iN?mWbtyhBLt%?vq4orv!pmu?kI3$j94n7D$SjNwzvz|F(kp)Xkv?OpSCZK zy_abAFyYi)m0X`~!VVjXW)DdgjxD;N@elq}$1~qTpAOG9xLA>w*N%Os=;7rL*>AT| z3fa?S?Wl1Xdirf~`dZK;e57jR6t?dmIjafTKXq7sQr<%P%@&jVTA8EUG zS>YSwqnOpZMjb;Su!QE!s}|1aSK3t3=1qz@0()U2jh(LD2PFHA-Da}@T|5{<^x@WI z{UxBfT%CWN+qT_c=rYl7wCZLMD`;{ke3%r(xSpazvsjh-S^*KZYto4nWovuQ+r=)i z)UqR~)c(##ORv!rDVOcTKJki@SIxuYC&Ty8K%sE#P44b4x@#4nCyRIFCAmI2jtX!g zoan2L4vsB5H5F&cuZW>!`7q$7tm7agQQxtRYvQJHD9Imom)z~Z7p5PRZ3y|AmX~(& z3~38+=brgKd|fq!xq>ZE)Ec2$RrbvHxL!d^3Ezc|f7U!?%uI<<@@Q26QBJHcu-lz6 zAfPZSy+)T?LFfGRl}GzeLZ`S8kfln%5;$*l+n&Vm?RbXt`}pm_a%9{Rb8$a7S$Mfl zrlgQ5349*9XE*j6L|G0L3Sq6Da%1Z{TBuP?|A-qb+`KO>Hh-nHZM^C diff --git a/install/fr-plugin-rh.iframe-7.2.zip b/install/fr-plugin-rh.iframe-7.2.zip new file mode 100644 index 0000000000000000000000000000000000000000..0e488e7e82b11fccec21565497bf8643f84dd3c2 GIT binary patch literal 27523 zcmZ^qLy$0luBF?yZQHhO+qP}n?*7}hZQHhObMAdJi`l%hN~P*c7TKL73evzJPyhfB z006G_;<5n$pD_Ltn>o=s*tnWo+R-{$&{>)}8QPlCvePlqEBrqS;(sW(XnN5UQviSx zZvX&h0F?ig`d_NiSs6M30wDYk{@?!pE%jgJbeX!~N;i_yn4JP z)c+^3fyNyF<=V&Yd&llFTE+ zK5ANQd0}=?Vp&m2R*Id9ftKM8mrE9+h+|1&Qc9u{NE9e}aBx@1yVX>fqEM2WqT5&j z(qMVJ|GH1!*FiEkP}I~dT0p`@U0}W=Hn1&HAOW7Bxy_-=4fr?b5b$fw@yF*>zc;hK zoA&!>2lzn`&0N;UAoRW`2FF%=n&#$j82$Ozz}n>g`G)w7seAp`6x>x3*-)a z?l=1CX6CDP5`Hk-T*U}Z+(@+q5q2G@DQ_@w_lUowqv!wri z^i$GTb)w&%^qpH(F|p_J+ve`T)WXd8Lrr)1cY?bu8+-oO&K1A4=;!nO+5ij&RQMyU zZ)j@DD2=U*={>YZoX_0K=;Fo}o11otE&%n!o`>A@8?w>KUVsOwgkA!{LKH%>jhSPv zjVqBy(q!00f6AFC(h2-pU=U)r#$k5emt}L(>)Y1@wkL=P-ecTu=wZ-q)^1MFTSY79 z39*ye?*pQp$A%_@ENOu5s<8-Dp+L1JGahq_dnO?7$)=-; zn6rs~<@b4=7l0`mps*AmkZ{~=C*`S!UY=@-2I)Yb4!nxGVB7dAO6mj*}YKf1(f_syPXQSdJ& zZE`i;3!as&lg!h0azMmlxl^G(h^sL&NvBjUOs4hIn2^;V2!z*=;y8rkH5TWzApLvH z{?y0kbE|(Lj+w~ABcU@v7l9rE0Rn#BJVRuh9+G#R5nZ8=O4F_1<)>=l_zrG+JmRc&ruF(yLqkGXxT?kbid9bIMNTU7P8?^5hW*pmC*;J!#*fjJPW_OT^ zzch}Y_S`fT3{w=nnRvEs1!c#ISgy{L46xPb_gm9~r&X!qidV?UhDZtdy*aJAfROB;q>Is_2{Q zRJRDv7&ez%qOIT<_wWqubu!J#^X{Jly2;hd`bVq>5(NUn*WR6n6jG9zTv%&qW0>4( zlG|V@8`~eqF1z5GUmhSeiCfR9idDye-QS892Si|KscoA;M?2O?C4@+7*V=Qv#;gpH z_b_4@Khq?l4}C;FxmrXKPQpwY;FRypMU(0G(Z{c=242K(B^^>}SeI;rJJP7!3gQ^9 z`_PJE=NkKYDYx7>E>)w~5^nW+oGCg?o*t{xX8ORvig~&I80@EvJ;{MUiv_h*V|a`D zbmt4R0}?bJ?re~*CvJ5ThGwD2M)PGL+==S1YJ5q1SASi32ZUM9?nHHaxXy)h=og1D1NEwPF! zD3*RUgrS~6$WvrvGfc7)U5vYCZVX2IyRr`hWDfb?bjaw1XfhZ}xt78$(5`o%@ACyV zKzi9ULb&!OS5u`vJ?r#5EaAC_j^t(X>3nC%9rse_`^wDLmog{xksl5pT?blG_s1ai z-fDuHmPH3BW~N-{t`(a@rJV1!JL!|-N*(-g`oXVFa~|}bdTDWo4Z`fA(?-u&Z#9{@ zo;aU%12gk(9OzT~X z+~0u6Yci zNub;&qRf5(Y#HE>Lf~eEP(3ODm zcw=I`W?zoXPRu{4=porw1QfKfU}Y~2$E+`trQrR%=>CITO`DO~*N!$WRva&%#CbaMVC zU>DZCI1vPk=jV0+6c`ZgEN@Fu9c;@dTu4%Ym>6rseC`fH;C4n=>n)WPi*)D7Lk%1X zXo`yDA6>kg;Msri1>WJRga8#K&Llj9+Dh?lHoA3}-sh*u(0r2svEq8gPO(pp$MdOp!JfZL7R>FyXSlsy z-%7rj#+2^i&VA;uN>;`COhVMfdO^WDFvm%}Wd%nT8#TqT9nG4>x~)rQ zZXT1gd#qbVI9g0r+RZ18PzkG1@%bnhng~&f1h70Ms40F3K;o1$&UB2KI3|Fh@E67O z&vhKOQ~5)n_y?BHIgzNLv*jz(vfq-c4-Aq0PS75h%BMdUSGQj8F0F z!2ns2M6;F1nGzP+r?^c@geBXBxx38-XH3^)$p(s)t>_5>2byOdz$C>>|DzJ;K+Qmr zC_$C;ot2R(v93IXI9An{<*25MeBlXUHw*y=*E?nH`@ zNzt)wbZy6Js-(eMXz}i(B*eb(?7Q>8!~`?!?~hY9v2r@F!|jo#N_L98MQ&{G%*lT&U+xG6T5XXhf-@O#h5K+ zu~03M4$Ee@o+GE#JV&eRbA!^+{#=OMscbuYFa!PjJj)CSNP$`E{h5Gb~dxiYG&sQ!>w;@Ev0bhSKA z7E`muni2{#{x=Eq1HvOFQU4Gc`jNoko#Si;BC({EFTYOAoG}dIm4TpUBz(;>3kae( z39KAhp6$_gL`nYcgwHhLUy<*3XpS#TtCz$drOyF)HR`gg?CWqVM;WDm-f*s+_NR@I zY=!^B%G%s0Zq0C->MCl@ED1RI9m((*|4wb2YZAsFe{L*30H|j1NszA2Q%X{n#q_C5 z_X#rdkx3?J@mwj&CqFo8dJ%Xxz=4 zF)Z6zHTos%IaaYC5vp7s5cgmsX{9<6*t1dOy4`cd0x9h)F{dqL4SaurfAb&*Pg8fV#0q&oGLa@thbkw0F1_1(Q37OvuxFgH6kbCan5sl~Y%$7z;hmP}(D{ zD@Bf#IqJ!OrJQ_M&zo;+`mHfJ3*&g0p;v)WL~3kwAT75hpG}2DhCHOeWEB?Fy`SnkdK=mGa2wXNsrvGe$dH8qRhuY$Z@V>0gp`_Z(DSGDDg-4h@8WO zC2>_D6Y)>*tHSaQU3y-|eI0JT5K90(m>r6<4wqtAl+fN5PxPTi!yqUdMJA8hINmTO8!i&2(p>5X zJMg&eIzCu{O3tH)D2m~ea~QR?W!u52ZmonKKH&zV3GCGyL5Sdf`>Y9!1i3KPbCgn< z;@7Za>LpcB8DAQW-_&2Ubs2+y@UIcY`18Fo;ycx1k|2i;0>&DG3fpj$ zX#%9`A&R(c7SI?UjF>4W}5~~uUeWYxy#PJ4m=S#SnQ5$4pFN6E$ktV+>WCbnRv}F zgt`_#kHFUjG<%1cn=k69 z^tM0^hqF?irgoL#{BfhuTnVOH{MK=^d&~{{hCqFtG8S;pK z$hYyabEg{s$=+&A2eP^{dg`o4QBaz>jdr zl;|itFoHW&A~uCVDM;moEFJGuxsPlS`{Ugr*Zk!)InxwfW24eex_j$2H2Ox!Jbw6Ld z{|7CaoZXIi*NgvEpX(vWmA_S|Bc*mFp}6x-7pe-|GqoJeOV65)n&1GTNCDDV8MRvs zGwXp9$*Uj=_j%n`=!;@H8q8??-;h<9IP{#QA(C67l5M$J&uU_)%^WO=M_tQH!sd?@ zB>Ih8{Ui&E7nIQaDFf0X){&QC$c=~IkTe-){bo`lB<5PiRka)8CJ?od2Kqi*7LI}T z0sAE`gC_KK5T8NKL7EW#7%rP4s$>N7=wZUizk5EP=@V@llu>^Fi;gc^jSR2?pjQ#o z6}tXm04Cp8MdfJ%$brP-y2r4_bBffr7qJF-qN!@*b+q_r7{X;FW!Z*OtD%-+qZ_liME)jJ$sS za}&4F%%wYCG+{u1NdlT}YNk+%%35knV)Y`$VwS{#scy4Mr0*T1(x|GKt>yCKitE#-=yPDW3}-;CGTzRspfJ{a%o0R0e= z!kG-jw~N-{Y`_`(jNzyX9SjT8bh%A1n65nr(2ixmU$>pwMgGwgv49->yktbMjo%@$ zD8Ly^hq(_t)c5>dkufyW$8F)CXA%;VcObm7DJxd{0_Yxt#ro=2#^CEOf* z#$XexLuo`P;u-MA8MO`Z&Ku!&eUH_(3x@-8g5gO4LU$aUSk+?HjCjnH46C7lssn};nHAC{m%um)lFnF$4P9LLuQDTJ7}~IsWGczT=UpH%ac&y1db-V9a!z}k zdy@yoQMT^;YCFb>d8hH}sVSA8kB$rHqSGJ3?8nlC9G)*fNU)ZW;9E!3h~Vl_YJre| zsVmX7idcM3eQnLOfQlcY4$3k=j*G9SOP7!w3vG%+;AeLfE5F}En$jU`P(bpupgODd zYh3_0jgNQ0^0r{)f&j9Kqo7+sX;gxL=16`SDqery7kq?Y=Wo=d>_G)7V_LvAa<|jd z_Wo%dO=-tMj`kT}7H^2oQAH&`3)H1P_cw(vT9{-CZ(z;iQLqYoG?tLPq`hzNF_d4z>$+a?f+EJ&D+R7^k^zP%s$Y!vukFfXu9Lc#A%*>Ai*tKzHH59Ma4UBWubX3Qn6Jp zUL@_IRl==9(hEadBsvjOge|l8RW{pg&m7$tt<(d|nK5Xpj;NCmqAI7<_>Bad4tV_) z|68Vdx#umL)iCIbT_}}-Y<_Hpik$pt`L>2;kbm#&-hQr7h*x47q+vbHRVsIlWq{+x6*(ary?O#UZDZDClca){;O`xbssbAdTq_=N zZVJ61p;+_W(;|W+CE~)LH$7;!ypwWQhvL^;T=D)`lgh3nm!kM9E3^k!Vuvr=ODge! z7GCn-!FL+am75ndZW!5$L`_ub?48q5(&hnF*g`U)Qe#r%)Kf=ta|?XM5)<*tmEeGp zI3|~Nbgj12rcI=}J2#{xhv#x2PuUjT_W&~XXg(8l&!Y&;;EfTx<%|!BJEtFp!$qP} zTtdqyyQrd5pEA~Z7(D~lIsr4)AwI4c>VQ4@@O)%UF zXBeBiNN;M5!J0SEK>u6C2JyOND+-wWq@>$!K&`3mjR&?%FAs&R6&CmC$C|*MK#Otu z#6>j4JjcEqNNoAWL-}Uv#?UrGqV@d7g&@XqUtfG#4k(TDn^XD$7{|&;tz$!{dUkOo zledm`YVIyzkRK|w)CFbMzJ_d{p;fljWLhsfr+1EAyY-`^y>$@v%*k=Zlg%5AVOzLO z1eZ^5O`8}T?G+sESNcAk&nZWnb76lsn$sun&Ue8i!Nrndu|igc)DM^E4`nXY(CRJf zA^c@L93=fzU;JKmmoWH~|CHO=pu0b3=K2X|!YS12a>+4nJ-~Q(sM4r>POciz@r_G&HF;c;9%T%Z}+?$}( znHPjSn>3_TJeI56KEpuZJ5Ht}FpMjr;X96GxL#gJZY5=St`$bBQ@7}Wu^%VrcUtY| zy5o$2;{yW-XN$$<2<2@tz%jWXra{s6P#7~p#_fe~!%kM;`DR_)Y-ZW4Rs>c@3rfsA z0+|mgT{5%bJ6tV%!t<68h7q(w=VD#=@|kwZ?ny;;9n6uRAj#VuH`73`_1n`<#ZX6z za{H~!%5^I|a3%ZxdMgn0c($z#JSD0oJ2N1`<)Y*nb5rY@ktLZYxhtZRd}}#OsHZk- zEYU(^kx?q?Lx=~&nRvmfLL(q=GavpFg8Zix951>ihi_(taWJwfv|P!6=X|`X| zT<+#YG$HJxN1em~BZB#=lTDF*&tiH0DcA$_G4)Wfp^kMZlgEAzKEHF&Iqyx;Q;rKS z#QrRR1BD3O)$6c8%afgDfWq+xLDv3SZv})g)1~iW=QAd zeyzi{tk8%|K21K(pHz2?p1uvkf`9<{=`X^Es;mu;Eu7AuP^W>r2`-C!|50Q;6+$^5 zQa@I~8e*CWxdQ~J#ml45#GC=j#Q{Nl-L_q&y_1vmALj~xxWi=Cip{7+Y1%%qR6;RI z^~-XryX9Hyg`yIpAvd$PA{n!dx5xIbm-PYbY2EkZvhSy}r7ms{(xksiRto|F%sv>6 zedH$mWWMMeo;ajbd?Oxfn_P6EXp`Mm84t^SdQ;q7C|Gz;`c;8+`KuL&&t|5g3@A?1IDr z-ybL`ctbP>=T!87c;m(#sY?h90R}GHMuZZ4hhua+T%Y_fEz9iXGmNKTA{4&wh$yZa zL`0n3sDPdGh=}Vy=Dj9R7AM3Tr0Zergs%S`dBhMsE8N~wOHgVquea2n~c$m{x*#y24qeXT_sFCNwEn^vb=|7 z-Kgob>}%;pYF`;il}X+#-eh}D2l@_q#cAgp+PtLr!R8FPP3;fcyq|b{h3xtMHD0G% ztO<4uiirxBzu@k-YeRRsCGyL71_@E}&8cC{2;NjEtcDdgRS7Ab<$#|aS&xy3GvGUy zoRldZi)<>jDI1<;PdJc@;*1luL^i3PPBHCT|343QOAFoEe%4RTuNwT66Pj!4$HTLt zwqb|4{FHHCtnd=!1{9yQ<+`)IxQ_*IT5K)|E|w$1fsv$fGZ!l~OAg!MYZ1&+l!eoi zZk;Cp#y*55m&`4NoR^=@&$U|d`Chi$*F^C_zP8BA?x2Y7Gz`VrUF4m6Vo?tVtJra^ z=ZR8}Ldg2g6f?GWp{)DxphIuk1;QvY(-=V&c0v(!0<6ScrXrseHyO?nY*}dx3yX|8Olv; zjK2eAFNIq^GMsCKBEmM9dG+AO++}hX;gemhvAF!3T(x$Sv- zK#2Wc;3vr;{z#G%Z|Az{fwHeT#~;+X<-J};%cF*EMD>*9qBNE%H>$3UTRvBikGtbR zf@_|k(CQ<@i8> z)`f>O)UNiVf8;MJD~KHwA{5p4^%vN1YM}PlJKO)b?C3CZCmgE=Vv~J&)e^YOX&}@D z!=O9k_5!w7=z}0D&UcZcUmIPksB%Rq$~JC z7H(CIp>}m-%H1bK?N(||ljx%1>FTz95EZz}rAXBIdz%}$MfGL5m(7*jlx8z2{tU9l zmp8Z-0gttgvpHBV9^siIt>S5koT8imL89r`s+N}Oyp69)45+Rm#I9(n;4J&Vc0rqm zy6MpVQ`{K5p+jXce50vXi7=9-??7sxaM1M)8m+ScR8wJtTB- zX=hh6O>9ElyN@*@ztODJd7;F;V8+%ikmI?E0`H~Zfb1wZ=)<3Uz z2T|;BF^Dbg-AfD;;D5 zAIsGo=*<3DOGZ7g6hlEQc9cC8)Vd0`(38?y|7=ZAXVXe&JEq0SF#AX&b%ncU?ZA8h zv#@yVWfCovy@+sBy0a_!=XK$QT2tmo9qT(zfv&w_@HAQdFr_fe9%`4x>MC|-RVjIq zSQ2vO>6=`OLSA{)lI<=}fYwcDfFOEso_>@G7$GKhT<(#7OwXZ%tzuv zWAP(J(2FmJq6O7dR4&-6VL?uH#YOjdPmy!4O=gYR+;O}OCcLKtSF#0Q?VNVZE9>-N z-98*m{aEXoz0;;JjXUFWTr~D%h||I$Rb?V;2cNw}IiA`g9Z12AXr`5Ye;or2{$^g8 ziDj&?w@zl}5!NRQiAMKCNk=LR4wPnoyR~>DcW`e$a@LaAmC|BbwxL)4cw{bbTNzg}=% zfu`4T9H!gKq9+&xREx2jc@)$SeKd^98rOj+JSeKme@N#TkAdDF3Y5E4idooDw?c-K zAgSvKRtmh<=^nJtfDq%HXCXri0fH)i{=vXwo{@=1!?DTHoAg>IAsiA$mA88SD2B$) z$yr?YtXMov4PfU4ZaCc`Eqztl1Z3&xs=7 zxOlx$nYL5MD%OHq(M&s0s5FB(iV{6`ap!e1qM|HwIzN=9W);U}i|UFB)0rt;z`#`-0hPUr@8j2jFcEHq45?6LF z4es{yM}eT6dAFxd5E#&(_*Yc-SSiKyR}0%$q5ZiZQRF|`DdukLC}NOIMTFCZU`gHB z_EOjaUI!~WT{oeNnv5G8Q4`CNsp7w;m1>;~CvgEWFyG-IF+^!=wT@CBV5`*bLA&A6 zZAaElc)MIpwjdW#a(3Gu`+)O%{pi#4HGCH|0qjFY{E}<*grEY#9L^n);B+kQBvJxO z4DS$?Xa)%l*#HM?K@B>R4{KgT6Kd{lNGl~mix(rIbJJcA2kV4*NM0y&6AYuAybhx& zv<9b=>tlK7fvxn^(s}pYJO0-oZU^74168UDTXDqM;$q zS`ZD{ZHmo8AIRQudF%zDQtv;k{aTnX_S?byCiyW3Mv|nTJ?7@Ex^NDKgnZmxb z-BnXi-lL`@%@fie#UoW%h*MJX^KwL#Q%4fbI$=uCtrDi<_mQ3T?4&rT^z2%XiRviX zx%ig6vHg<#5e*4FHG10Pa)qv`R)*!M`Of5=5;K%-2*<}cun0*CBcP}`sS`v*8{mKm z=$u3-lAoK3Gn)?X&*)`o@phUPT$k?nsKunR`tH?CkftMwVXtSV6oeV~+Af4f@TL4% ze`4G>#m2%vh8JJkOH?;%gk;&C5^Vp7g}|JfpO+gUW6kAapVV*{0|t5YK^&fFQFDeF zt334@!;Czirq4HZ^MZSya5yrTS%@Il{DQ?diE1W$QP2}2*Lw&7mdG)el>Q}N`;Y5}0< zT*?CABUh?)ext%#E#TLo@Qg5DuzA-KP+kvnSy&2YA-XBsfq#jZcz>$*RayG^L=JrY zYFix{f7sSZ_4%D!0pL%ddLnytiT6@_5oe|M1Nn@hX99aNKE&F3z}$o1(nZ=a3Q0-L zP!q~AOQhb_Y)KbK^HMjyI2Y5Bq>u-bg^xn8;6^%Gj^T5ttk&W;SECdj`My#T%_HDX z!R0wVSBtD?UgeB^qu|C`r2niRKAAm7eyHlD-YCTdHt(_e5U|p1x!8d_G&}un7KTiL zmDhTzwyzS1$NAenRV5VDMP&#^%`fIA^?4{E3z(gfgN7nkwA5H?G5Ujhmg#5Fx@`bv z+gnP#7}d*AniE_6g%S>|BFe~<_NhsX4s8%Qo)X(NyVzRdeLQbZ=PAOaQc1;hTVWx~ zp0QkCE^1Ik268KNr+!h5V&xSOmeR6XHb8#Vk4mx8!{tZwA6Wr}yu{~5u1&gV6e%WI z(n!^aDlZ7B7`Zn%z826@CsGP-1Wit~OMxu+P80}uXDQ*yVdw0wltwVenW5~bZ08B7 zlQrc~RVqDxz*$f|74!_l!Jr|!@X@=nrR9*6m9V}jAEtHn$(rI6`Vqx`jge9bpMXsm z)Foq&C`MSGofFKMQMPmkIP0V=9&a{JBZak_Ddg~(>L3iLmK)YakSKCZ{@4#Q8PZ#> z1cC-;q;k$SL(j-!vhuT*_lMV`WlgvSggphGD^jqXh6602^>;Oji5-H_K0Jt?H1$Nb z7Gvu31pX_bJ0!fTrxn{~u&mjrtci>s>vX_p!Kw+!W`KtbmxUvh zam}wLxr=G!NJzLj6{>U>o-hR}cKPwacUWbyq!j9eb-E$NK*BPEd-`j$Gp@8wp&YF0E@oTtw-GT_OEpuuDOLZlOjAm|*KhTK zug+RHRWRczx9~WmiAgU?&Q)&KGwIatwe7gBb6l2&X$k2lJz4N4C-jrZD+uiKWeENC z{(Dd*XUY9psmi-i^g<&#im5ua3brEf=YUft=ST-i#!3LGRdb}?C_*4^2F>GuF&6Gj z>k;0al6Y7!&4K;W1tH1%%#&#bOJEK$(VK;7Ek?CG3A{lp7C);pxIV#^yrIYV3~pZ* zwDEZjZ`R6MlQTqmOf87ruB>;=w#QIe7npoC5Per|2j>A^-nAnP=b-`YzrSXFz6wqB zR>K4Bqb-2&Vh}ILgV=*+PHXrqmx*#;6bw$+)h5^D<;{6(UANHF*VL+;eVq5naDF3} zxGg5r>e$`z4$G&44Hw-7RQsNAHmXrh>#^WObcXi= z$dex?Vt>RjZo-fKfWr;;F88q)RlbBS6LhgUY7QESx$m^GSVoO-d(S<=+P5-hdXeKv zQJlB9CQsfEL9suz9JlScMXrnXe`Cx*1n8RXKIRdUly_Ze4h$~*WbkFs|G8$0++>ov zG>gaY@1IU(B*=~0m-QC}BYxA1ObSNd;d<%yr?n0bIL52YgfE$u1&l+yHfGkVonqx1 znB6}QUeh~FZM{aCjV5%Ga=3Ia2hg%KWA2|C=y2^{8v19#sL8JYtqq-|&bg5&pipg>oAyyS1!er(& zx8yLplqsD1dLe(28xhD}qt!1UQ0kxE@m8k=LJsXaA(rlJ+DL3Qq?X9dG51sPD~lUyD$ zdlbV}_pJ)4nhB-WYXS?g^R_U8SldneF-v2*xVt3-OGLu5y|?!dfHBs0$*pFAlRO!P zI+oh}Y7G8&cawUHH)&Y9Y1Mvx2j)eAi}929Or3j<{*L1N{kLK)rSV>o*a8f%$oK=M z^%qc{lOIB6S+9i3suhOJy|-8WC~Te^4W4ciIgR4L zDMZ7Zzu%$hcQp`yA>;ZxqB~w!8}{cUFuJU8*t^P9qXN}|v0<&z0peTp)(hE;+L+DJ z!^5wqq`)e)i4q~XDHzCkXWptiGF*11rqBay4XT0Z+CEu{+U$jaDM1A};Y+KjQxgM& zWs%|W_n-^X*bqSINYPhq)3D?u5X`eUDf<})-tY6*g$MB8j^bXQ6raiOmHhPLt^eYQ z@S#_U0A`{PKdv@=OLaP9Y4CW9C?QuxNJ8+}{eV|bpZO(H&8Ea`AH~65qKD2;(YL^w zWFUDBzTI2u1*dQ4DhV*Xpq-!8V^Tgz4;9Yu3nY ztna75HH>jqI$b6b70tq9U=VG2CZKP2m(pmeG61qy`rvLIr&B9oPa!#_DOL-i!1Re*x6F&&{a2>BRFKC>*vk;&EWH${2ij2fNEWw;T zLFO+q!C&^3+$&tE99>%JY-@54gdmIq0ypmCONRQm9d9{_FbfK<&BS@96ffP6{>cR) zgMwN#t-j{Z!3pArqko~w_)21%Y{X*UTQ6$Vsf2-?VE8iQ#yg51jFI3FZ0aOw?|AQR zv}OICw;vkk`jHib1E55~mL`T^Y5#R7j`} zd7;oqvWyBDCc3VUl!On^mj?3=Mp0>j0$Qz`QfJksRCxcQ8R0vZ_4L4QCiTNZR4Bhs z(ctqa_)(L()160km+NzjUa-sxk^n2YbA@3=;rK({=Fe{zM&}nBOQCK8lR+l5@k3G_ zRX3QiWAUzQRXbO`8K7_Ds@!Dmt=>Ry2PI+sdXcTotO4Teur()A)2$-Y)izVFgXURN zk;deT+E=R&H4D`+R#8JibKMD;vxvvd#ExsHeUwVL;~CYkxE(EE5J=y8pdopQKYrT! zOn0iN?!AbaKmb6;KXFk-DI}v>w^6#ZS2rlR*U{41+1EcUiw&vH$150%ZuC=3vwWd# z_#PWP&qd|q3G-pmIqz&$rm*wkH>tE|1n|Dh~;0W%+5I1Mu`d!}$ z__yx(c973Hc5{0IUJg}iSLW7_z(Wj5HXh;f`o~N>vq{t|U>SW$bV&nMiuzVMp$@ns z_cz)467WddR6ZBun8P~N@+I0YL}5s&3bLqWy^+C~46~WS$1BT%Hkc_qz2}B%y1Svf zRgv3k^o^dq9)CJ+bZR6$CTEr!daTjVsXIZaaL_*ha_}^8!Mfpfq;eNlORuR61H_fJpe`gJ-T zMqyBKXl)0{;Neto*^c8pE-2EOAPhZ3S@;V$>1vAqEM*ne8H*Qt^Bci^z|?s4cu@4Q z$n9-WbzZS>rcTo*7y zy2{!P(|0jgqB7)OHLCOQ2KKE;%jVR%G`?~?AE9^)i>XdiLOPp%3qr z8gyy>`Jen%1}HE#f38qDHAl4YR1sp+z0BUZLnkrIBPcweY_;E!Wd$3=ijgD%p!|LK z+GxeB$4HPro4mpR48hR;oaqBC&5y{~kR+R`H-^y89#pvAj+UEy{n#C}>^LGe)a z!-DFRmPQvE3`DJCm3*+~&_=bYl|B40HfpL$hS;)9Gl`k-6h7dX=tp*|{Fbkhf z3pbxYC1yx->o6@L%^r8kA-(8Xl|U+X)a%0ppI*w;vC7w-(fUzoRA~^`C4G?`%#^_k z?2WD3$+nylP%P`7Z^)#QQ~cPkBrI!Yp@|!<1#%oDm&}ymTkkl!w|X^3C$5VzCiEg+ z=D&_Iz ze+yOEdk&A+L@jQx@udpPD+meJ?>Yl@JLoZ9--{91rZJO>Qlcaw_XepS2GX9WX0 zQqLA-WkyJQ7YUA&SfLMGbn2*C;mD}6D zhe0=GbD6NLtSpTcs+hI~mh> z^)oRl;Orxx3n%(5dB%xRb40y6_82SK6J0ZHEv|>HXF8}wz7_2zMK&S=;PG=b`L+2X1K|6ZJawnXmbyYVapo)$l zpqZ`Ymaj*uz(aegn1QP(vakW52jaS_ilmtVw`x7ug%m_RmLmlWP3d4YV1w37>{98u z3@#iYM!@fsC6cJ3?l5XLkId_TKEEm@&(8y-N9M+U-uk9I>KR@U1bpX^Yx8nvvo;)8 zbt}UWW!xUWI)AGO6l7zczG~JYHE3G?$7K*IgW*nh=sNlwYehbHF7>Q^{i?kKjK9coUfU3AL zP@=5dBZJT|CZJ3Sxom$zL;xYDqP{{39K@ud(R-EvO5VKGWBW#cM(OXDq>Ahn?j47{ z13LAJen6_B@w`YpUvT`?nN^nT%4bjgRfBa~OGuoZ@n)4o79q%9MBOmYINX%6aPm_M zmhv-e;!w!RULJjXv>Y@tbwYVe{THY}N)nOH82hX7AS*lU3|5VUtq5{`HwDgnLVIL> zkn7R0xUL-OE`bEJx(&M)KtY4*GyMo``uMXMBUSJ3?xn?#Ld+OoT$!^nV z8kPihU5P14j<+(fYM3QjmH`D~Ws>uoZ8X&0%JH%aT28oSv`g~Tx+DuLp~YQXADF^h ziRomcEo=lQs?$Xhb~r}deX+WV3;7P8(0beaNIVfhVV^Y2#;?)bw5p}+?KO&vAD2g> z!GsBOfIgq$32MWiNiVT1xNYfVz`uB13L_GVUqGk0l|4fx{v-t?{;nju(LV0Lash>; z;o1&buuS}U9Qe&=*tULme2DlJ(^{=+Rd+7DFU$^|caXBHim7kF@$D=@nn*Y0wmw~s z=x$cYwbMI;`x(nuwRJ|bH`#akT5JRJFbatgcd>{*f-~W>$5U zxJPg4e7fO2^rRo-@CWa(J*(fEp?iTpxqpAVhQFR#H6|8DmVfq)niFbR;s_J*Fv)M( zC9;AOq`px|P_(hb@Ji*U^yd&NNv&x7l{?J-akzTb-%7p}y2?Hy{l z$uC-LPsFhsS+u`}4x+AKA;puLEZSsh6n7vYRH^w^8*StTca5P2RSj0F(L z!Sz`u)#c0w;<@tGGVvQRtNhKiBvM^8OX=EVp$>-6@FMWX#1NTb$3}@>8(ra1Bjxw; zVrx#Oy@dZNYNTCiCT17*a~&iI1merGq8JDx?2L~>C-!?PB+E3T6t%=%P0#u}7*g;4 zFzBfxmf`4rGe3f5r|G{0Ph-)|zr(!9zDXt7xSG^CzEuaN9E^C2iW;d0paD3 zLP^xsUwXCurO&^^|E02966^P>uzuw3xOE5P)lT&kR*uMDxgJs39Qe@~)7BPNm$4(y z@d%xXN88~5s!wIn-W2NH1fq^bal7mmk?}Hfh}RVgh+IW*2KUvAF7Ga$ym^%_U&PEC zFic>6Nuq+ZZ~*=#f&?OC(cky6YVO}D41Kthyc=sx@p}jizVCHBZBRA7J^@MeW)AFO zUXYXs3JKUi0wXD3?}zoFsOG0qQz}t=-e6Z3X1%QICvphH(#4Qr=>f z@5t2H^Lab6`}th|nSdwlsT#)2{O3jG1Gb!MMot>!6Re2%v`8j(!DQ~OUp;}s)D2rH z4MU|Hgb&>)B^mn88WJQ$`6P+}5<+Fsq~jhq(2F#JHn$Y{JaWm0@+Lz-B7u~bWdv;b zE=}o#H+D-~xQhhpp&hsgi4)~p-$K1Eu@Ck{Jq>xhXqc&EDT$l^B0}m%U{LFWWmz7{ zV`bc0z&G_`7dwmu0NdGGQU7msf}kvFRdN*j23mS*$t1ykl{+8mYEo!u$sA@AX>b&D zK+L!a9Z>>0x=_1t?jcLa=ALq+$Sxez)0x8fsD_ne%L#Kx3W}%=nuRg%XIfFDP^Q+t zzWv0XvdlZnn6Lt8gJt1wYI-PkkC}*9uj3**F6T5xA|Bel8fkFSaGMmS%i!jV$xp9Z z9dBgkzzh9Bfp%%Qg7#B>T0l7@c98>bBObv>k0m=^?AdF!o1jXGp0h>O_o<0hZj8`L=Dut6V^d@lvjHluEs|f?g-{6s6WD)PBB7 z!j@6^Ik?u!f+cff@?er`hnpePIY(SM4BWh!CXZFU1ss7jM$3C+AAHm&nb-HYD-Y~|G5NKSEeAOWv+b-H$vbc#33x={vr)boACLv`Mc#6D@qBig}JYmG*J*|LKJ$&Fk_rk2{(;%7UHpX4X zxf~9I^TNDRI%bq(ZF8-tCu8VcG_YpX*^f0oy^3G4=hzQ9E*`KE7VovSbLGx|(WdO$ zW~2fqDu!rn9e{RMv2Hw|D50Ii8R=bJ84{dJ zoeX_xHeTRf8k6R#9}&jI1pg)1|@y5e8RC@nvXh1jUgZXWx%7HM4|IJ ztQ3oZ-A7{^p6K&!)6GbZ{jL*u5k}BE;!B;*^5Oc|HX=aXnw`Rf@4ioq`=Xwsu{x$m z)*+C4KsN{y`daRGFLiGNFgLmleT}@e9SHtH+Af_RAV8?`%G||0S?bQ*mGl+Ke;Ru7 zz2jLazg=1DHRDk-koHCw&~|wRjDSyYh<^m;&AHi=YP?L**)!tj@<=IOTT2Mvwkd+SSwqZlyUwT4a z>@HL;7eC=jMT&h6yq5RY%7LqKH?Yg$Xw2Yd7m%~@{uP-S3j{v$v>NpFqOj_!r7-qd zT28T?k86aiF3!gkGM~ajLbDN!_xb4W<=TUw5bOgi{2N0HedIDXFU^k!Fm>399bs@S z-Ot#Y38U;0G~*Wph72d|e#>Yid?K&c2q5i1}v2Vb~=H9+ z44akvzGWT!Rm14l>X+jm zE*!^X(Wv1SmI)ENWLP#D?ck0FAYpLV9U}kFC4t(ib4Np59UW^i4$xE~BLgdL4+#SQ{unpxIfr!bL$upGTM_hP5F@HmVK9{`itG}Ho zrSS^S_$YMw&<2)0O_mc+n;Grv?yiP$BvJI-M52^CZ=LBP9A8V}F-(a}`5*7b6tn`q zOq5WnUPEenx3S1~GS~8j<26258Qc-4 z@^=boDI81Y8YIrX`8RW?({ny*IF>|VEz{=d3pEI+7nng2fqwyzqz;lP&AY(7DmRm- zpxIE5)?j z8pM^u2zFp)u>=dwUw2dkq`a>`g80)Iw}$;WCSE zuT}OU#iwvN&Q|p*uytMIb8~k60^+h%u_u%qd=D-wn{3ysFhY)wi0GJ3ey9 zd}s+p1XB#!>0MtqCr3ACo?~8LZd13I&;n0rd&9oNrmfp#TjxScfnn$#OI9(nU>Onj zSPc09w1dnBrC9c3g(JS>1}~?rEvL`)f3IkR!`Ai-Z@)jDK{2Px`g#Q@+)m)&9gkO0 zvcN~!6vC`Dt>@G*7SjC6iPrQgWKcA9QZ`16bJcM)DCNd&8;6tB3xzQ3i884Hh>d_n za*w6}sxBt63)>(T0Y-y%OTVw*TPVpEEcziRped|yrS!;(n{gO6B63b>*7z#K>BQDA z{uW=B%JWsd82X2H?yv57vdZ6@H*+tY%9gES2Mnx=yJrjS25Jv5R#nJCckkJ_bnkyANoI9&}Z`bk(K+5?rm5?@e zP5@R+^bW6NmoY-K`6m+p)Fk+U>l+izj3Q?fg~7eDq&LomIl5}O-%=y~LEEq0M$Hru zL`0%nc92gR?O&t_RIF3w0XU=8yvHWL+|^8mmhnNH?FjNLr$stx#)Aq7x)urvGJTav ztiCr)i-nGoIf&tXY@$9yL4w~C#IuU*!7iJ~8h!XaV8s; zJ0^!3t)=loJU88IyMaS-HG`tsf11@+mx^W7)Hj<+(uy|wtD3MaR_3gHjUlWhPCQ~N zdlx4z6d*oKWOovN;ZcN&S~*&PIWrafV1r@b4lF7q(i*qGCs7EVl^g`zuVzEJt&cX! zu67xUr+Xk)&3an8Q8SuQp(xAvO*jJb-HJ}d@?A^^5@!`pwX&0wm*M><`(>r5F?!{y z=u;dKA?a%{V2}L^pgXKR*#sFFL&W_VzLa|pYrgkNtp@C%1Rpl_m`x#B1dK(I3I{-J z-e1$3e7aMPiTm!h#-!GUs0N^TE%qf} zxvZ%FL6*8*Nr3D|#3mG*n^#$co9rjJ@f4yW1^SzX$viNQhyH1cd_VAf@lS0AB@qC{FT4AnSBj3=oU8Z+XR>buu?JAD#2t`MI#q z!d^W=NwI@sd)Km1lmb>nle|S)CNRV;dfDBD4E5>wk^HuUH;-yp1)< zdjOy;t%{eLUV~+ccz9S-^%kYZZZpjVTDH68r1Ua z+bF)Co%S)%sz&Xte|hpgtunDcR3F)a)mwoFQ`vXb0^ym-f&#VV8$8w}B%YUpMk3!$~TZWnq92$4D7 zQW9U(yZU&{1jt;EP-mXiD zoh=RVif@geQ=qZS@ESE>X^5E>XN-&|Eg^H}NV%!J(Aova{%W}@{NT%rbz;FI*eORc zLDKnn>~9EDX+o{cv6Ef2p~;cv-p|1qG*vZ)FFhc>5pt$-{v&m^BX`$03F-9#wZ0v4 zd4ye=L0FPt+Uls9CPV+za-DFZgJGWsYu;?j6iO%0wyRk=**C)Gevv-kp2_o1>mGUS zjO=`l6JaW86v{MS?XC7H;@l)*LxNR$3ZAtdPRKY-%Ytm{FAR3TKbP>2y3 z%yVt-#_G!J3xAYmvYDMn3*ILC1QNaLyw$?p_)qY94^1L7AC1Txr8p9m*v(d4hMrOr zi5zK*O5=MJR_B|_?^p?l|Co4|QZ_Lq8t2SNyl5ePbLL=3qEUtcBl+MYL=7j7i@io> zmtd4|Moc1_QX$hd3b$hk1!Wy!Wl5M+`Q0${IHRFZXv}OkM++>dguu>VQy5BR*-tXX zjVm4_DXe$_PhKnOh+~neL@9q8oe=nFbRIPae7-j#LLmb?J2cQNXUY?(XEsfZEuL*2 zc!1$d9aVd)=HsM(R4$$2LrN~&atcHsrJ!CQIC9$9;23*KNlfMVB2!TxoP-)t(9LEVX zO-5RTla^lm?V$cV6qS4mO4p-fMOC?cVK8C-F-4IY(`fBRS%&Ev|8Q%T= z_-G1uYBAKNb+Zu^W!ivar3S`Zpi2=)`8&J4!^Q&$s8O!d%A8yPX_i35^Tk3CArsXT)V}9a9JD(9{44!2GxioR)0Ktus%myk8m+qdOUFS$*@Re3b8()ux*q5c zlWrQcM4))fKHDai5cNQXBEgkfjuUn#$Z9J%sP%WKR-UG)XM}dD2wICbkqQvqJH%x2 zqDqi;Ppjh5Dhc&%(7P=tr$IJ?bLC^LGyO^irXX7Q>gK+2td!M)>|$qf>%HQuadOn! z#H+=)Qs>*a=N>#55_|VY;Iq!m*o-jos7lW8NHVc6+}Zb$VJK)t*Z=#R0G%e(I+@zW0y)=zkpA5W1I z$+B#qdl{mkPbOh|+k;3LKiGN#{vdOfo2=yl>M z9>!J-d`%hKJ_+|mAoF-=ch7;Qkj^A1cAxl5I*7CTvh@q8hG%Cb&eaqtgM}b=<z-1ZW}ZU0CdtCi$(9rNm_F4RnW% z-@cuh8AAt&RL{$z8Th#-N_AS9SK`sf+J=#OH?=S0>gyi3y;aj*=ZrN;(DZc%&XRYr z>g1K4YMU*Nuur@+Q$8C$DT;5n&}0@~e%0`6^NA^4(-8fgGfXRsbt>zWHn8B6!Jdbh z)NEKHQaa;iY<0qb8@)|&7t!zbFz>Ps3v}8nMRSagCE=~ZJ9)5ZlTPqUzYaa86x%nN zWpINxZ=@Zd%bj2haZ%V6&gpMwwZA2MvX1lr-sc*;3>cQY@NSOiJU_L)=>$c(QS=UA z$;mcH+Fbca9;HcQHa^O-?-o5mdMP8|1-YCy=M`bx;efG+e ztT)xYcfEff0O-zA-Ck*Y{X%v6;G2i}PAglGm(QorzdR24R~?kBe+(^u93&pBz8;7b zsI?YIN!`Df)c@m2ViW2ZMbe-1oHC0P$o+&|P#2l8-lND}Tio#?kI$8z*g@MpsmlGs zgLK~p;=xVo+l}0i^g49V%fQ*$%*Th6-{%C3V0+RTgf2Px~Ux8wIWONV14)uTnQP-#VdlG_p3 z*LsjHBV{&bs6*lUVXq1lQ}ebiW$C5OSZdgDC{mQMt}B-Bb+Q&|{V)CWX4Bmy67Rf# z*!}$cVBe#9OD^p7&7WMS^n&j#gon1ULuf(z{k3iJ6nI0KP*(L)<&f|sR!eBA1uIR_ zn)(Wfn$p*jK~ucY3t)-@s9g$thZr!^$eW9V5kxFG<0}D&(W{9w3jFfBiOw}WWa7XP zPsm4DvjFNMHWE3U?U!X$HR}dJP)dSs$J6XiBkD{`MWrNXjh8bym z*pbUUe6eNlsc`4`sIuKy>|lMw4L~O_kC})(9LS5J5!YS`Bbv`J4o<%0H-A_xN8h$SG5M*(rU&p5sCk*#k#J$SAC=#S3P% zIw}{-Wj8h+0&8#zhab0!1d@bP3aT|@5T?c?o=6s-5VM7kJotIRIXA{xvHKvp3g_*y zWnuY+Mn@vn)owNNG4Y#o&K{pqd8(+7ah;x;5SkFY&JPK3RRD`9}OE59D zGci@u3plat#;BJ$S15=vWX;_D&HWgVVV`$MK0|4-DstarM0|fHh5+L341g@aMXb<)taM?*MW~rb{qE-Oy>qTnZaBwadTxltrEYj3REM z4rDv84kz@wb+%TJ6fh&LFP!7;*CqXx*U9s>(*JqGpovST`JFKFzEGieGG|$uw}-H0 zxy6$bi*C4`Fl$r(UVa|~xlnkUHI`8^G zZ|ClocilqU+tW}@v%=!O8mQ$1|9#y_Q=Uo|RlPa1Xm5`m(Dmok`+zUy!;t;1r6(_L zA`mN4<)IqIn?2=0>^%juLo&U(P|sx&N2IE+pJ7@WoKv0iHwL)7;n_H7>>XS>nF9l3 z6I7EC=btl$v{xA@M;nA&q-{p&J(-G`W-~nt;Mdz#XoPYM;&q@c6|?u5 zyrx$)D)UN@eWLx_6zxL5KCY$3199Qpp zBFd&{Tlm;Hdf{<(1{kEp`uUVEObsl#J2?ukL#o`gIhLO~Dm?Hr9K6t*+F8-y-jeik z#;0h21|IZahT%2UB^VFP{LyP$m%y$$1{F-i=DRNqS0l!0Uet$g#H z{_JxOMl;sK+fZk^Q8%m8gnc2GOtH!te;4z#%cgy-G(~;Ak6JLP_`yb;lDUq!hWvP4 zn_48hcF9hF6?IXTE~K|~2~Ux;l=V&Z^L=!84b{1%IT& zOxuEUnDUfjAU@RZpt1|01E15lFAidTp`d_4z1Ta0V+(rT06!ZCpMs^=H(Xy>&n(SE z%I~%~Oy_g596LLD;Ww5}u4Ffb4V+ab755?edC_FvSXlASJ1f>h|Otv;g&Db zGDavJd7GrS*aL^h^z;tPs(HKm&|->o5sVwfgfkB;F328SR|#S+W(VwH9Y~bzd7?Xh zNuJJ5OG$YOndM2qtSB$ydKRBnWHuaG^W`~) zZ_4mSN%^FS+#V6$Sm`vPf^U)Joqd2BlPvdDfs+HzdDPP&ARWRt_$voXh=!aK#E_Vm@kY+uo&X1dp z4)J9OaQs+$;Z3!Ueo}8XTi7UZr+DSaZooKiaR_g3ZMJM2SHHK z2-M?WuMpCpY*}D{uMIQ@kj!ieuv}O8MCoa!&&aS|0Eyzui2?o_@kUn}mfiN?l6=ca z_4HCyYc_1k2e-IznkvFv*|uoS@pel4#H&{9B~AsB0w-rf>?vv=D7{u$m&p%w%sy#n0_w7H6@}W56ghZedW!@WETp%Q#euvv*cZZxuck)T;@93 zD+dG)7qJb)>2b_4JaW_Xs4=+_QxzVbVqjRUS?UJzC-Ja`;pUmn(O+NzTHmxv^%{NA z(o!SdCDq^>^K0~`6r4Gu(B;onnrPQyQCEetS{|fj-Kv2J>jq-Bx@fX-w*tBp{Dd|n z*b)k#*n*=gm71LI$jZ>e0lJ}f^sn|9Y9~Qy9j=|D5{N#Yz(-QYuGgl*U-wHE3ROtH z!EDU79qXy!)68|DdV6<`5ow7^F`Rxar6zg$X^WR5d(oxT^gdh89_4ik*pYFllB(KE zdGw{#ST0f-z@DfmRqL#IP4!f44C*PWO3O=%$?$%YgjP`*J?&1sl3622=jG>CAvD+pqBg>1?l$RDX+_es{#1`M{1qrzS!06@M0=x%wZN0zKd8@57 zF}sRC8lf6(YIJD52=2F;tj$IaOAXM2E{uJMemAdmG`J}U(jaC)aULK6epZ_I=^9U3 zACJvJjXK7@La6MbU{9r?^7@rsiN-+oi>&Uex2!3ppN>vi%;X)VaBBY)&z{ksOs%uJbERXj4AceogV~PV1Ei#MRQLr&Tw*Ig^zsdk&l{t+6(Y zcT-?}BMoO`SHi8ooZOWs{U}2Ybe)_yVTsa1TiIwjDH4}eFVR_x( z&52i=&f4f{Nh=#KSBTG`ZD9UtE`*Iva_NOpA zH-SGBBD_g|)!wO86{1Kv5zLmLb|n0o+`d1eK)mkgqfusH2Hdm*QczHF}RJf^y=Xm_C(=rrijhXGLv2=&^kx{@;51ozSz&tYa4a^(lF#>}G zZSqXD!bAoK1R6ky+9Hd`=xOytmNq3)U^CFLxwNTrK>O|5W1T6jHN^)Jc&51x_jgPq z4U z`ToGc2f=?h^Vjy-V=9CZ_kD#roV@#-5_~v+^&WjN34Jwaul7a$B$TZ50AQDHAhh`j z0&a)GM?N-x_;G@thX^fB{eJJgziCtxj57ahuK8g)eSHF829tTcl!2{nD9F! zekVU54%Oz}EXCEI{Q!Mr1+31#M1MkJLQMIYo<2SJd?1xQmbLp~dw=1I-+|Jf%R9b= z>hqr@eD>pfDDUjT_4~#az5|Cqee7Zfezl2o{D^#(39ilZ>nsq2C-_Nyb{Ve4p+FRy zuH9(&?#|^ke)kK&A;Vi1y2gzL|FB8ZNq|cXm3xK!>Sx##7mO6ZhTD#6=6^NqD)7r{ zBZ9NK7F|jBuGEDm#aWm>%KiFv#NgTWN%J1}KDJv_SMOVq#ZwGqGI{F-EWM>~L5L6$ z_U~6j+>gY)^tKWaIH8xp4y*;=dr541JV-om@-_Gt=C_qN*?51zWsk$G+{tTI4kqCX zPNQ)@uXgf7+B>AcHIE6|SC0V4YTeSZ@IBGR+RYpLR-fK7f=4>xMemL3J>a{H`qmFt zC7kQf@2&dn+i01I6uXi70iT!jR^6JFXg&T(>g5l8411y$!quzm z76th46IGt^2?D2>3q6_nTMV`C{K6vxDZ_uwVIf_5$ZSFsi|2y~vv)7#C0Tc*m z{vSSu`2PTY`CkkfdVsCXf1H>9PXE{bv;42ssOdUyh@tx2)aCQ2g9sXRu`px(#`}F; zyeN|;L%_pRZZu#OG7ObbYQGk9h>df|HHr|?XEKJ8xv|G;2?5E-U%G+zj?uFK1BXTE zTb^6o^^)s+J$4=0_ryr`!7L#yUCDfQvX;LahN>7NDP^?qv-i!)vj0-zDi{IXSeY-` zSNLu(`kKs>7oR*%!3O|Pp(8Dm;;gPUQ_o%i4KKK|*IG$FV^F0Ea8*q=DCwXihEF>P z@&O65Y5^+;|F}FqrzyXbnbjNEwcucMrX>~`^?(NpIBS9vDM%GUzxn<2hk=sGj{D2we{&njNrjY=fFgP=)!YK zpOA}M&e>|3R9NPLyVGuR?HJn&hk>1nHF5c$Y5IG9tAKF&*Tpc} z8uPev{%)NhNP?@wEklfa-3}XdI34PwM!{z-gtbNKixICT)A*2cfnrBUD%3|*FUPtI zfFD|6SHDV?rWYde#541{M_5zIJhHCAwU`TL@z>wP>byC=E?0nvq?mumhsr?=58oz} z_1m-kbZujt6%5N3po~|#tX=WcR_5b~X?+(nh6y5QAeb+1LFD$Db7wLT%3>(Hwk$ib zYs8YFZ?J<|V_M6O6k++GSh1ryGo>oAYaGaoJ$K)#sOK{kbvLs`-9=bo`PCJv6E<6) z=hX^x0`pSAUQWUgr4@r-W%^yx%MkWaka7l3060u^*PuOi*sTie5nrs=q9!-<$yHZ2 zGBEacB@l#G1#PD)zt$)tN@n;V`q-#GNY+g6x zR71NE>$(LjrsnG7=XtL$&VYuPr~y^SxRzewDeicJ^UVJ6%Fx=!TX$8(`1$7I;rL?| zQ=**QN3XU&{*xkZJ?lG!ePb|VACRy31t`11uPyONz3%c`mXc!bd!b2nLt6xH>Sz!O z`b-{6*isdlJ2y+_MWxBKr*by=voIJz$qMl+MLyWsz79{`7kZd4tZXE@RSt!f!|ONK zQ|-U+eg{53L?8ISd5Hz}#hASL3A^|6Rm=N{d3)<2;o(z4vtDJ2V4ov%-g@_cki8)) zNCShQg8t9kss9xiegRzx{j+|_5Z!# ke~)+n?+X~r{^w`@KhaM?8XV$3E6{)a<=^aP_22IQ0!0!T+W-In literal 0 HcmV?d00001 diff --git a/plugin-iframe.iml b/plugin-iframe.iml deleted file mode 100644 index a1ba8eb..0000000 --- a/plugin-iframe.iml +++ /dev/null @@ -1,52 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - \ No newline at end of file diff --git a/plugin.xml b/plugin.xml index 260eb61..0798d14 100644 --- a/plugin.xml +++ b/plugin.xml @@ -2,12 +2,13 @@ com.fr.solution.plugin.form.widget.rh.iframe.v10 yes - 7.1 + 7.2 10.0 2018-08-30 richie [2018-10-07]适配10.0和国际化问题。
[2018-06-15]修复决策报表无法正确传递参数给网页框中的报表的问题。
[2018-06-15]增加网页框设计器模板的显示信息。
diff --git a/src/main/java/com/fr/plugin/form/widget/core/TemplateSource.java b/src/main/java/com/fr/plugin/form/widget/core/TemplateSource.java index 1cf69b1..367e169 100644 --- a/src/main/java/com/fr/plugin/form/widget/core/TemplateSource.java +++ b/src/main/java/com/fr/plugin/form/widget/core/TemplateSource.java @@ -6,25 +6,23 @@ import com.fr.base.ParameterHelper; import com.fr.base.TemplateUtils; import com.fr.data.NetworkHelper; import com.fr.general.FArray; -import com.fr.general.GeneralUtils; -import com.fr.general.Inter; import com.fr.json.JSONArray; import com.fr.json.JSONException; import com.fr.json.JSONObject; -import com.fr.json.JSONUtils; import com.fr.script.Calculator; import com.fr.stable.CodeUtils; import com.fr.stable.ParameterProvider; import com.fr.stable.ProductConstants; -import com.fr.stable.ProductConstantsBase; -import com.fr.stable.StableUtils; import com.fr.stable.UtilEvalError; import com.fr.stable.js.WidgetName; import com.fr.stable.script.CalculatorProvider; import com.fr.stable.xml.XMLPrintWriter; import com.fr.stable.xml.XMLableReader; +import com.fr.third.org.apache.http.NameValuePair; +import com.fr.third.org.apache.http.client.utils.URLEncodedUtils; import javax.servlet.http.HttpServletRequest; +import java.nio.charset.Charset; import java.util.ArrayList; import java.util.Arrays; import java.util.List; @@ -57,17 +55,27 @@ public class TemplateSource extends RHIframeSource { public String getCalculatedUrl(Calculator calculator, HttpServletRequest req) { try { String realPath = TemplateUtils.render(path, calculator); + List pairs = URLEncodedUtils.parse("__emb__=" + realPath, Charset.forName("utf-8")); + List extraPairs = new ArrayList(); + for (NameValuePair pair : pairs) { + if (pair.getName().equals("__emb__")) { + realPath = pair.getValue(); + } else { + extraPairs.add(pair); + } + } + if (realPath.contains(".cpt")) { if (isNewerThan9()) { - return NetworkHelper.createServletURL(req) + "/view/report?viewlet=" + CodeUtils.encodeURIComponent(realPath); + return NetworkHelper.createServletURL(req) + "/view/report?viewlet=" + CodeUtils.encodeURIComponent(realPath) + createQueryString(extraPairs); } else { - return NetworkHelper.createServletURL(req) + "?reportlet=" + CodeUtils.encodeURIComponent(realPath); + return NetworkHelper.createServletURL(req) + "?reportlet=" + CodeUtils.encodeURIComponent(realPath) + createQueryString(extraPairs); } } else if (realPath.contains(".frm")) { if (isNewerThan9()) { - return NetworkHelper.createServletURL(req) + "/view/form?viewlet=" + CodeUtils.encodeURIComponent(realPath); + return NetworkHelper.createServletURL(req) + "/view/form?viewlet=" + CodeUtils.encodeURIComponent(realPath) + createQueryString(extraPairs); } - return NetworkHelper.createServletURL(req) + "?formlet=" + CodeUtils.encodeURIComponent(realPath); + return NetworkHelper.createServletURL(req) + "?formlet=" + CodeUtils.encodeURIComponent(realPath) + createQueryString(extraPairs); } } catch (Exception e) { return null; @@ -79,6 +87,18 @@ public class TemplateSource extends RHIframeSource { return Integer.parseInt(ProductConstants.MAIN_VERSION) > 9; } + private String createQueryString(List pairs) { + StringBuilder sb = new StringBuilder(); + for (int i = 0, len = pairs.size(); i < len; i ++) { + NameValuePair pair = pairs.get(i); + sb.append("&"); + sb.append(CodeUtils.encodeURIComponent(pair.getName())); + sb.append("="); + sb.append(CodeUtils.encodeURIComponent(pair.getValue())); + } + return sb.toString(); + } + @Override public String[] dependence(CalculatorProvider ca) { Parameter[] parameters = ParameterHelper.analyze4Parameters(path, false);